首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 140 毫秒
1.
Thermal stability of Ag films in air prepared by thermal evaporation   总被引:1,自引:0,他引:1  
The thermal stability of silver films in air has been studied. Pure Ag films, 250 nm in thickness, were prepared on glass substrates by thermal evaporation process, and subsequently annealed in air for 1 h at temperatures between 200 and 400 °C. The structure and morphology of the samples were investigated by X-ray diffraction, Raman spectra and atomic force microscopy. It is found that the crystallization enhances for the annealed films, and film surface becomes oxidized when annealing temperature is higher than 350 °C. The electrical and optical properties of the films were studied by van der Pauw method and spectrophotometer, respectively. Reflectance drops sharply as Ag films are annealed at temperatures above 250 °C. Film annealed at 250 °C has the maximum surface roughness and the minimum reflectance at 600 nm optical wavelength. Film annealed at 200 °C has the minimum resistivity, and resistivity increases with the increasing of the annealing temperature when temperature is above 200 °C. The results show that both oxidization on film surface and agglomeration of silver film result in infinite of electrical resistivity as the annealing temperature is above 350 °C.  相似文献   

2.
Ag2Cu2O3 films were deposited on glass substrates by reactive sputtering of a composite silver-copper target. The deposited films were annealed in air at 100, 200 and 300 °C. The structure of the films was studied using X-ray diffraction (XRD), their surface morphology was characterised using scanning electron microscopy (SEM) and their electrical resistivity at room temperature was measured using the four point probe method. The 100 °C annealing did not modify either the film structure or the film morphology. On the other hand, Ag2Cu2O3 films were partially decomposed into Ag and CuO after a 200 °C annealing. The decomposition was complete for a 300 °C annealing. The evolution of the film surface morphology as a function of the annealing temperature was discussed in connection to the evolution of the molar volume of the phases constituting the films.  相似文献   

3.
In this study, the effects of post-annealing on the structure, surface morphology and nanomechanical properties of ZnO thin films doped with a nominal concentration of 3 at.% Ga (ZnO:Ga) are investigated using X-ray diffraction (XRD), atomic force microscopy (AFM) and scanning electron microscopy (SEM) and nanoindentation techniques. The ZnO:Ga thin films were deposited on the glass substrates at room temperature by radio frequency magnetron sputtering. Results revealed that the as-deposited ZnO:Ga thin films were polycrystalline albeit the low deposition temperature. Post-annealing carried out at 300, 400 and 500 °C, respectively, has resulted in progressive increase in both the average grain size and the surface roughness of the ZnO:Ga thin film, in addition to the improved thin films crystallinity. Moreover, the hardness and Young's modulus of ZnO:Ga thin films are measured by a Berkovich nanoindenter operated with the continuous contact stiffness measurements (CSM) option. The hardness and Young's modulus of ZnO:Ga thin films increased as the annealing temperature increased from 300 to 500 °C, with the best results being obtained at 500 °C.  相似文献   

4.
Zinc oxide (ZnO) thin films were deposited on microscope glass substrates by sol-gel spin coating method. Zinc acetate (ZnAc) dehydrate was used as the starting salt material source. A homogeneous and stable solution was prepared by dissolving ZnAc in the solution of monoethanolamine (MEA). ZnO thin films were obtained after preheating the spin coated thin films at 250 °C for 5 min after each coating. The films, after the deposition of the eighth layer, were annealed in air at temperatures of 300 °C, 400 °C and 500 °C for 1 h. The effect of thermal annealing in air on the physical properties of the sol-gel derived ZnO thin films are studied. The powder and its thin film were characterized by X-ray diffractometer (XRD) method. XRD analysis revealed that the annealed ZnO thin films consist of single phase ZnO with wurtzite structure (JCPDS 36-1451) and show the c-axis grain orientation. Increasing annealing temperature increased the c-axis orientation and the crystallite size of the film. The annealed films are highly transparent with average transmission exceeding 80% in the visible range (400-700 nm). The measured optical band gap values of the ZnO thin films were between 3.26 eV and 3.28 eV, which were in the range of band gap values of intrinsic ZnO (3.2-3.3 eV). SEM analysis of annealed thin films has shown a completely different surface morphology behavior.  相似文献   

5.
NiTi shape memory alloy thin films are deposited on pure Cu substrate at substrate ambient temperatures of 300 °C and 450 °C. The surface and interface oxidation of NiTi thin films are characterized by X-ray photoelectron spectroscopy (XPS). After a subsequent annealing treatment the crystallization behavior of the films deposited on substrate at different temperatures is studied by X-ray diffraction (XRD). The effects of substrate temperature on the surface and interface oxidation of NiTi thin films are investigated. In the film surface this is an oxide layer composed of TiO2. The Ni atom has not been detected on surface. In the film/substrate interface there is an oxide layer with a mixture Ti2O3 and NiO in the films deposited at substrate temperatures 300 °C and 450 °C. In the films deposited at ambient temperature, the interface layer contains Ti suboxides (TiO) and metallic Ni.  相似文献   

6.
Nanostructured CuO films have been grown on to the glass substrate by varying the deposition time from 10 to 30 min and substrate temperature from 300 to 400 °C by a simple ultrasonic spray pyrolysis technique, using aqueous a cupric nitrate solution as precursor. The effect of the substrate temperature on the textural, structural, electrical, and gas sensing properties of CuO films was studied and correlated. Thermal analysis of the dried precursor shows the elimination of physisorbed and chemisorbed water. It suggests the formation of CuO phase on substrate at temperature of 300 °C. X-ray diffractograms of the films indicate the formation of polycrystalline monoclinic CuO having monoclinic with crystallite size around 18 nm. The texture coefficient finds the (0 0 2) plane as the preferred orientation in films. The microstrain and dislocation densities have been calculated and found to decreases with increase in substrate temperature. The scanning electron micrographs indicate the formation of trapezium like facet structures on the film surface. AFM analysis shows uniform deposition of the CuO film over the entire substrate surface. Observations reveal that the film deposited at 300 °C show comparatively higher activation energy and appreciable response to ammonia at room temperature. The use of aqueous cupric nitrate as precursor results in the deposition of single phase copper oxide films.  相似文献   

7.
FePt (20 nm) films with AgCu (20 nm) underlayer were prepared on thermally oxidized Si (0 0 1) substrates at room temperature by using dc magnetron sputtering, and the films annealed at different temperature to examine the disorder–order transformation of the FePt films. It is found that the ordered L10 FePt phase can form at low annealing temperature. Even after annealing at 300 °C, the in-plane coercivity of 5.2 kOe can be obtained in the film. With increase in annealing temperature, both the ordering degree and coercivity of the films increase. The low-temperature ordering of the films may result from the dynamic stress produced by phase separation in AgCu underlayer and Cu diffusion into FePt phase during annealing.  相似文献   

8.
Structural electrical and optical properties of AgInS2 (AIS) thin films grown by the single-source thermal evaporation method were studied. The X-ray diffraction spectra indicated that the AIS single phase was successful grown by annealing above 400 °C in air. The AIS grain sizes became large with increasing the annealing temperatures. All polycrystalline AIS thin films were sulfur-poor from the electron probe microanalysis and indicated n-type conduction by the Van der Pauw technique. It was deduced that the sulfur vacancies were dominant in the films and enhanced n-type conduction.  相似文献   

9.
(Pb,Ca)TiO3 (PCT) thin films have been deposited on Pt/Ti/SiO2/Si substrate by metal-organic decomposition (MOD) technique. The film processing parameters such as drying and annealing temperatures have been optimized to obtain good-quality PCT films. Compositional analysis of the film has been studied by X-ray photoelectron spectroscopy (XPS). The effect of the annealing temperature on the crystalline structure, microstructure and electrical properties have been investigated by X-ray diffraction, atomic force microscopy (AFM) and impedance analyzer, respectively. Amorphous PCT films form at 350 °C and crystallize in the perovskite phase following the isothermal annealing at ?650 °C for 3 h in oxygen ambient. Typical tetragonal structure of the PCT film is evidenced from X-ray diffraction pattern. The grain size in the PCT films increases with an increase in annealing temperature. Significant improvement in the dielectric constant value is observed as compared to other reported work on PCT films. The observed dielectric constant and dissipation factor at 100 kHz for 650 °C annealed PCT films are 308 and 0.015, respectively. The correlation of the film microstructural features and electrical behaviors is described.  相似文献   

10.
Formation of cadmium hydroxide at room temperature onto glass substrates from an aqueous alkaline cadmium nitrate solution using a simple soft chemical method and its conversion to cadmium oxide (CdO) by thermal annealing treatment has been studied in this paper. The as-deposited film was given thermal annealing treatment in oxygen atmosphere at 450 °C for 2 h for conversion into cadmium oxide. The structural, surface morphological and optical studies were performed for as-deposited and the annealed films. The structural analyses revealed that as-deposited films consists of mixture of Cd(OH)2 and CdO, while annealed films exhibited crystalline CdO. From surface morphological studies, conversion of clusters to grains after annealing was observed. The band gap energy was changed from 3.21 to 2.58 eV after annealing treatment. The determination of elementals on surface composition of the core-shell nanoparticles of annealed films was carried out using X-ray photoelectron spectroscopy (XPS).  相似文献   

11.
X-ray diffraction (XRD) patterns revealed that the as-grown and annealed Al-doped ZnO (AZO) films grown on the n-Si (1 0 0) substrates were polycrystalline. Transmission electron microscopy (TEM) images showed that bright-contrast regions existed in the grain boundary, and high-resolution TEM (HRTEM) images showed that the bright-contrast regions with an amorphous phase were embedded in the ZnO grains. While the surface roughness of the AZO film annealed at 800 °C became smoother, those of the AZO films annealed at 900 and 1000 °C became rougher. XRD patterns, TEM images, selected-area electron diffraction patterns, HRTEM images, and atomic force microscopy (AFM) images showed that the crystallinity in the AZO thin films grown on the n-Si (1 0 0) substrates was enhanced resulting from the release in the strain energy for the AZO thin films due to thermal annealing at 800 °C. XRD patterns and AFM images show that the crystallinity of the AZO thin films annealed at 1000 °C deteriorated due to the formation of the amorphous phase in the ZnO thin films.  相似文献   

12.
Tungsten trioxide (WO3) thin films were prepared by thermal evaporation method onto quartz substrates at room temperature. Effect of annealing temperature (from 200 to 800 °C) to morphology, crystallographic structure and electrical properties were investigated. In order to investigate the temperature dependant resistivity properties of the films dark current–voltage measurements were done at the temperatures of 30, 60, 90, 120 and 150 °C. From the AFM pictures it is seen that the increasing annealing temperature causes an increase in grain sizes. At elevated temperatures the grains combine to each other and thus form continuous and homogenous surfaces. From the XRD patterns it was seen that the as-prepared and annealed films at 200, 300, 310 and 320 °C were amorphous. On the other hand at 330 °C and higher temperatures the films were found as in crystallized structures (monoclinic phase). From the current–voltage measurements it was seen that the contacts areohmic and the current increased with increasing temperatures. From the calculated values it was seen that the produced films shows good semiconducting nature.  相似文献   

13.
We have successfully prepared Cu–Al–O thin films on silicon (100) and quartz substrates by radio frequency (RF) magnetron sputtering method. The as-deposited Cu–Al–O film is amorphous in nature and post-annealing treatment in argon ambience results in crystallization of the films and the formation of CuAlO2. The annealing temperature plays an important role in the surface morphology, phase constitution and preferred growth orientation of CuAlO2 phase, thus affecting the properties of the film. The film annealed at 900 °C is mainly composed of CuAlO2 phase and shows smooth surface morphology with well-defined grain boundaries, thus exhibiting the optimum optical–electrical properties with electrical resistivity being 79.7 Ω·cm at room temperature and optical transmittance being 80% in visible region. The direct optical band gaps of the films are found in the range of 3.3–3.8 eV depending on the annealing temperature.  相似文献   

14.
Influence of both substrate temperature, Ts, and annealing temperature, Ta, on the structural, electrical and microstructural properties of sputtered deposited Pt thin films have been investigated. X-ray diffraction results show that as deposited Pt films (Ts = 300, 400 °C) are preferentially oriented along (1 1 1) direction. A little growth both along (2 0 0) and (3 1 1) directions are also noticed in the as deposited Pt films. After annealing in air (Ta = 500-700 °C), films become strongly oriented along (1 1 1) plane. With annealing temperature, average crystallite size, D, of the Pt films increases and micro-strain, e, and lattice constant, a0, decreases. Residual strain observed in the as deposited Pt films is found to be compressive in nature while that in the annealed films is tensile. This change in the strain from compressive to tensile upon annealing is explained in the light of mismatch between the thermal expansion coefficients of the film material and substrate. Room temperature resistivity of Pt films is dependant on both the Ts and Ta of the films. Observed decrease in the film resistivity with Ta is discussed in terms of annihilation of film defects and grain-boundary. Scanning electron microscopic study reveals that as the annealing temperature increases film densification improves. But at an annealing temperature of ∼600 °C, pinholes appear on the film surface and the size of pinhole increases with further increase in the annealing temperature. From X-ray photoelectron spectroscopic analysis, existence of a thin layer of chemisorbed atomic oxygen is detected on the surfaces of the as deposited Pt films. Upon annealing, coverage of this surface oxygen increases.  相似文献   

15.
ZnO/Si thin films were prepared by rf magnetron sputtering method and some of the samples were treated by rapid thermal annealing (RTA) process at different temperatures ranging from 400 to 800 °C. The effects of RTA treatment on the structural properties were studied by using X-ray diffraction and atomic force microscopy while optical properties were studied by the photoluminescence measurements. It is observed that the ZnO film annealed at 600 °C reveals the strongest UV emission intensity and narrowest full width at half maximum among the temperature ranges studied. The enhanced UV emission from the film annealed at 600 °C is attributed to the improved crystalline quality of ZnO film due to the effective relaxation of residual compressive stress and achieving maximum grain size.  相似文献   

16.
In this study, the electron beam evaporation method is used to generate an indium tin oxide (ITO) thin film on a glass substrate at room temperature. The surface characteristics of this ITO thin film are then investigated by means of an AFM (atomic force microscopy) method. The influence of postgrowth thermal annealing on the microstructure and surface morphology of ITO thin films are also examined. The results demonstrate that the film annealed at higher annealing temperature (300 °C) has higher surface roughness, which is due to the aggregation of the native grains into larger clusters upon annealing. The fractal analysis reveals that the value of fractal dimension Df falls within the range 2.16-2.20 depending upon the annealing temperatures and is calculated by the height-height correlation function.  相似文献   

17.
In this study, indium tin oxide (ITO) thin films were deposited by electron beam evaporation method on glass substrates at room temperature, followed by postannealing at 200 and 300 °C for annealing time up to 1 h. Fractal image processing has been applied to describe the surface morphology of ITO thin films from their atomic force microscopy (AFM) images. These topographical images of the ITO thin films indicate changes in morphological behavior of the film. Also, the results suggest that the fractal dimension D can be used to explain the change of the entire grain morphology along the growth direction.  相似文献   

18.
CuIn0.5Ga0.5Te2 (CIGT) thin films have been prepared by e-beam evaporation from a single crystal powder synthesized by direct reaction of constituent elements in a stoichiometric proportion. Post-depositional annealing has been carried out at 300 and 350 °C. The compositions of the films were determined by energy dispersive X-ray analysis (EDXA) and it was found that there was a remarkable fluctuation in atomic percentage of the constituent elements following to the post-depositional annealing. X-ray diffraction analysis (XRD) has shown that as-grown films were amorphous in nature and turned into polycrystalline structure following to the annealing at 300 °C. The main peaks of CuIn0.5Ga0.5Te2 and some minor peaks belonged to a binary phase Cu2Te appeared after annealing at 300 °C, whereas for the films annealed at 350 °C single phase of the CuIn0.5Ga0.5Te2 chalcopyrite structure was observed with the preferred orientation along the (1 1 2) plane. The effect of annealing on and near surface regions has been studied using X-ray photoelectron spectroscopy (XPS). The results indicated that there was a considerable variation in surface composition following to the annealing process. The transmission and reflection measurements have been carried out in the wavelength range of 200-1100 nm. The absorption coefficients of the films were found to be in the order of 104 cm−1 and optical band gaps were determined as 1.39, 1.43 and 1.47 eV for as-grown and films annealed at 300 and 350 °C, respectively. The temperature dependent conductivity and photoconductivity measurements have been performed in the temperature range of −73 to 157 °C and the room temperature resistivities were found to be around 3.4 × 107 and 9.6 × 106 (Ω cm) for the as-grown and annealed films at 350 °C, respectively.  相似文献   

19.
Ion beam sputtering process was used to deposit n-type fine-grained Bi2Te3 thin films on BK7 glass substrates at room temperature. In order to enhance the thermoelectric properties, thin films are annealed at the temperatures ranging from 100 to 400 °C. X-ray diffraction (XRD) shows that the films have preferred orientations in the c-axis direction. It is confirmed that grain growth and crystallization along the c-axis are enhanced as the annealing temperature increased. However, broad impurity peaks related to some oxygen traces increase when the annealing temperature reached 400 °C. Thermoelectric properties of Bi2Te3 thin films were investigated at room temperature. The Bi2Te3 thin films, including as-deposited, exhibit the Seebeck coefficients of −90 to −168 μV K−1 and the electrical conductivities of 3.92×102-7.20×102 S cm−1 after annealing. The Bi2Te3 film with a maximum power factor of 1.10×10−3 Wm−1 K−2 is achieved when annealed at 300 °C. As a result, both structural and transport properties have been found to be strongly affected by annealing treatment. It was considered that the annealing conditions reduce the number of potential scattering sites at grain boundaries and defects, thus improving the thermoelectric properties.  相似文献   

20.
ZnO thin films grown on Si(1 1 1) substrates by using atomic layer deposition (ALD) were annealed at the temperatures ranging from 300 to 500 °C. The X-ray diffraction (XRD) results show that the annealed ZnO thin films are highly (0 0 2)-oriented, indicating a well ordered microstructure. The film surface examined by the atomic force microscopy (AFM), however, indicated that the roughness increases with increasing annealing temperature. The photoluminescence (PL) spectrum showed that the intensity of UV emission was strongest for films annealed at 500 °C. The mechanical properties of the resultant ZnO thin films investigated by nanoindentation reveal that the hardness decreases from 9.2 GPa to 7.2 GPa for films annealed at 300 °C and 500 °C, respectively. On the other hand, the Young's modulus for the former is 168.6 GPa as compared to a value of 139.5 GPa for the latter. Moreover, the relationship between the hardness and film grain size appear to follow closely with the Hall-Petch equation.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号