首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
Tungsten trioxide has shown good sensing properties towards various gases. Recently thin nanostructured WO3 films have been tested. Due to their large surface area to volume ratio they exhibit good sensitivity depending on the grain size. However in conventional WO3 thin films the average grain size exceeds the thickness of the surface space charge layer, so the electrical conduction is mainly controlled by the carriers transport across the grain boundaries. An alternative way seems to be in a monocrystalline material with nanometric dimensions. Our objective is to fabricate nanosized tungsten oxide rods and to test their sensing properties under gas adsorption. In this work, we focus on the growth, the structure and the electrical properties of tungsten nanorods. The tungsten oxide nanorods were grown by vapour transport from a WO3 layer onto a substrate (Mica). The nanorods growth was controlled by the temperature gradient between the WO3 layer and the substrate. Their morphology was investigated by AFM and their structure by TED and TEM. We have investigated the conductivity of the WO3 nanorods with a technique derived from Atomic Force Microscopy operating in contact mode with a conductive tip (Resiscope).  相似文献   

2.
Preparation of nanostructured tungsten oxide thin films using the reactive pulsed laser ablation technique is reported. The structural, morphological, optical and electrical properties of deposited films are systematically studied by changing the ambient oxygen pressure (pO2). Structural dependence of tungsten oxide films on ambient oxygen pressure is discussed using grazing incidence X-ray diffraction (GIXRD) and micro-Raman spectra. The section analysis using atomic force microscopy exposed the smooth surface features of the deposited films. The blue shift in optical bandgap with an increase in ambient oxygen pressure is expounded in terms of electronic band structure of tungsten oxide. The influence of oxygen pressure on optical constants like extinction coefficient, band edge sharpness, refractive index and optical bandgap is also conveyed. The temperature variation of electrical resistance for films deposited at 0.12 mbar furnishes evidence for its semiconducting nature. PACS 68.55-a; 72.80.Ga; 81.15.Fg; 81.07.Bc; 78.68.+m; 78.20.Ci  相似文献   

3.
H.L. Sun  Z.X. Song  F. Ma  K.W. Xu   《Applied Surface Science》2009,255(22):8972-8977
Faceted copper and tungsten particles in submicron-scale were obtained by annealing copper–zirconium thin films on polyimide (PI) substrates as well as in the deposited tungsten films on Si substrates. It was interesting to find that the Cu particles are faceted and seem to be single crystal from their extraordinarily regular appearance. However, it is another case for W particles which are polycrystalline and irregular. Different mechanisms are put forward to elucidate the formation of Cu and W particles according to the morphological characterization, residual stress analysis and their distinct atomic diffusivity.  相似文献   

4.
Tungsten has been chosen as one of the most promising candidates as the plasma-facing material in future fusion reactors. Although tungsten has numerous advantages compared with other materials, issues including dust are rather difficult to deal with. Dust is produced in fusion devices by energetic plasma-surface interaction. The re-deposition of dust particles could cause the retention of fuel atoms. In this work, tungsten is deposited with deuterium plasma by hollow cathode discharge to simulate the dust production in a tokamak. The morphology of the deposited tungsten can be described as a film with spherical particles on it. Thermal desorption spectra of the deposited tungsten show extremely high desorption of the peak positions. It is also found that there is a maximum retention of deuterium in the deposited tungsten samples due to the dynamic equilibrium of the deposition and sputtering process on the substrates.  相似文献   

5.
Novel materials and a metallization technique for the printed electronics were studied. Insulator inks and conductive inks were investigated. For the conductive ink, the nano-sized copper particles were used as metallic sources. These particles were prepared from a copper complex by a laser irradiation process in the liquid phase. Nano-sized copper particles were consisted of a thin copper oxide layer and a metal copper core wrapped by the layer. The conductive ink showed good ink-jettability. In order to metallize the printed trace of the conductive ink on a substrate, the atomic hydrogen treatment was carried out. Atomic hydrogen was generated on a heated tungsten wire and carried on the substrate. The temperature of the substrate was up to 60 °C during the treatment. After the treatment, the conductivity of a copper trace was 3 μΩ cm. It was considered that printed wiring boards can be easily fabricated by employing the above materials.  相似文献   

6.
Our objective was to fabricate nanosized tungsten oxide rods and to test their sensing properties. In the present report, we focus on the crystallographical structure and the electrical properties of tungsten nanorods. The tungsten oxide nanorods were grown by vapor transport from a WO3 layer onto a substrate (Mica). The nanorods growth was controlled by the temperature gradient between the WO3 layer and the substrate. Their morphology was investigated by AFM and their structure by TED and TEM. We have investigated the conductivity of the WO3 nanorods with a technique derived from atomic force microscopy operating in contact mode with a conductive tip (C-AFM). Its provides at the same time a classical topographic image of the sample surface and an image representative of the local electrical resistance between the tip and a metallic contact on the substrate. We also investigated the electrical properties of the WO3 nanorods by the current-voltage responses in a bias range of 0 ± 1 V. We have performed experiments in an environmental chamber and characterized the role of water vapor on the electrical conductivity of WO3 nanorods.  相似文献   

7.
Transparent conductive oxide (TCO) thin films play a significant role in recent optical technologies. Displays of various types, photovoltaic systems, and opto-electronic devices use these films as transparent signal electrodes. They are used as heating surfaces and active control layers. Oxides of TCO materials such as: tin, indium, zinc, cadmium, titanium and the like, exhibit their properties. However, indium oxide and indium oxide doped with tin (ITO) coatings are the most used in this technology.In this work, we present conductive transparent indium oxide thin films which were prepared using a novel triode sputtering method. A pure In2O3 target of 2 in. in diameter was used in a laboratory triode sputtering system. This system provided plane plasma discharge at a relatively low pressure 0.5-5 mTorr of pure argon. The substrate temperature was varied during the experiments from room temperature up to 200 °C. The films were deposited on glass, silicon, and flexible polyimide substrates. The films were characterized for optical and electrical properties and compared with the indium oxide films deposited by magnetron sputtering.  相似文献   

8.
The effects of increasing quantities of barium oxide deposited on the surface of a tungsten tip are monitored by analyzing field-emission images, I-V characteristics and electron energy spectra. Changes are observed in the emission activity of the system throughout the entire adsorption time, as well as the appearance of nonlinear I-V characteristics and a shift in the position of the spectra relative to the Fermi level of the tungsten substrate after the amount of adsorbed barium oxide on the W surface reaches a level that depends on the substrate face. The experimental data set forms the basis of a model for the creation of multilayer films of BaO on W. Fiz. Tverd. Tela (St. Petersburg) 39, 1476–1478 (August 1996)  相似文献   

9.
Titanium oxide films are of critical importance for the electrochromic device technology. The substrate, a conductive glass being coated with indium tin oxide (ITO) thin films, was deposited tungsten and titanium oxide by pulsed co-sputtering deposition system. The film thickness increased with the ion beam power. However, the slope of the curve of thickness against power at an ion beam power of less than 300 W was greater than that at a power of 400 or 500 W. A high ion beam power resulted produced a crystalline structure, as revealed by X-ray diffraction (XRD). Moreover, increasing the ion beam power resulted in the high Li-ions transport. The electrochromic behavior was optimal at an ion beam power of 200 W.  相似文献   

10.
Indium tin oxide (ITO) thin films were deposited on cyclic olefin copolymer substrate at room temperature by an inverse target sputtering system. The crystal structure and the surface morphology of the deposited ITO films were examined by X-ray diffraction and atomic force microscopy, separately. The electrical properties of the conductive films were explored by four-point probing. Visible spectrometer was used to measure the optical properties of ITO-coated films. The performance of the flexible organic light emitting diode device with different thickness anode was investigated in this study.  相似文献   

11.
Silicon out-diffusion through ? 3000 Å tungsten films deposited on silicon by r.f. sputtering was studied using Auger spectroscopy. Silicon first diffuses to the tungsten film surface by grain boundary diffusion and surface migration. The out-diffusion kinetics were most strongly dependent on the thickness of the silicon dioxide layer between tungsten and silicon, and this (native) oxide thickness varied with substrate doping. The out-diffusion rate was independent of tungsten film thickness at 540 Å and 2400 Å. For substrates from which the native oxide was removed by backsputtering just prior to tungsten deposition, no Si out-diffusion to the W film surface was observed until almost the entire film had converted to WSi2.  相似文献   

12.
The basis and prospects of a new original technique of determining the yields of the sputtering of conductive materials and subatomic films on their surface by light ion gases in the prethreshold energy region (from 10 to 500 eV) are discussed. This information is of great importance both for science and applications. The technique is based on special modes of field ion microscopy and includes the cleaning of specimens by field-induced desorption and evaporation, and subsequent operations with the atomically clean and atomically smooth surface in a wide temperature range from cryogenic temperatures. The technique enables one to identify single surface vacancies, that is, to directly count single sputtered atoms. The original results obtained with the developed technique are briefly reviewed. The energy thresholds of sputtering and the energy dependences of the sputtering yields in the prethreshold energy region are presented and analyzed for beryllium, tungsten, tungsten oxide, mixed tungsten-carbon layers, three carbon materials, and subatomic carbon films on the surface of certain metals bombarded by hydrogen, deuterium, and/or helium ions.  相似文献   

13.
This paper presents a method for fabricating size-selected nickel nanoparticles coated with oxide shells (shell thickness of about 2 nm). The size of the generated particles was controlled by a low-pressure differential mobility analyzer. The total mass of the deposited particles was estimated on the basis of their measured electric current. A high-resolution transmission electron microscope was used to observe the morphologies of the particles. We successfully synthesized a series of monodispersed (geometric standard deviation <1.2) core–shell particles with oxidized surface layers of 2 nm and analyzed their magnetic properties. PACS 75.50.Te; 75.30.Gw; 75.70.Cn  相似文献   

14.
The dynamic current-voltage and estance-voltage characteristics of amorphous thin films of complex tungsten oxides (tungsten oxide bronzes) and, for comparison, tungsten oxide bronze single crystals have been measured with a change in the character of their interaction with electromagnetic radiation. The optoelectronic properties of the samples have been analyzed taking into account the important role of the processes of hole injection, carrier localization in traps, and nanostructurization. A model of the physical processes occurring in the surface and near-surface regions at the interface between a tungsten oxide bronze and a liquid proton electrolyte, different from the generally accepted approach, is considered.  相似文献   

15.
High-density and uniformly aligned tungsten oxide nanotip arrays have been deposited by a conventional thermal evaporation on ITO glass substrates without any catalysts or additives. The temperature of substrate was 450-500 °C. It was shown that the tungsten oxide nanotips are single-crystal grown along [0 1 0] direction. For commercial applications, field emission of the tungsten oxide nanotip arrays was characterized in a poor vacuum at room temperature. The field emission behaviors are in agreement with Fowler-Nordheim theory. The turn-on field is 2.8 V μm−1 as d is 0.3 mm. The excellent field emission performances indicated that the tungsten oxide nanotip arrays grown by the present approach are a good candidate for application in vacuum microelectronic devices.  相似文献   

16.
Tungsten oxide thin films are of great interest due to their promising applications in various optoelectronic thin film devices. We have investigated the microstructural evolution of tungsten oxide thin films grown by DC magnetron sputtering on silicon substrate. The structural characterization and surface morphology were carried out using X-ray diffraction and Scanning Electron Microscopy (SEM). The as deposited films were amorphous, where as, the films annealed above 400 °C were crystalline. In order to explain the microstructural changes due to annealing, we have proposed a “instability wheel” model for the evolution of the microstructure. This model explains the transformation of mater into various geometries within them selves, followed by external perturbation.  相似文献   

17.
Patterns of hydrogen isotope trapping and retention are investigated by applying hydrogen ion radiation to nickel samples free of oxidation, tungsten samples with oxide layer on their surfaces, and tungsten samples with aluminum coating with oxide layer. It is found that hydrogen isotope desorption upon hydrogen ion plasma irradiation occurs in samples of tungsten and tungsten with aluminum coating, but not in nickel samples. It is concluded that hydrogen isotope transport through the tungsten–aluminum interface is initiated when one surface of a samples is irradiated with hydrogen plasma ions.  相似文献   

18.
陈明  周细应  毛秀娟  邵佳佳  杨国良 《物理学报》2014,63(9):98103-098103
利用射频磁控溅射法制备了铝掺杂氧化锌(AZO)透明导电薄膜,在传统的磁控溅射系统中引入外加磁场,研究了外加磁场对AZO薄膜沉积速率、形貌结构及光电特性的影响.研究结果表明,外加磁场后薄膜的沉积速率从不加磁场的13.04 nm/min提高到了19.93 nm/min;外加磁场后薄膜表面平整致密、颗粒大小均匀,结晶质量较高,而不加磁场薄膜表面形貌呈蠕虫状,薄膜质量较差.溅射时间为90 min时,外加磁场前后AZO薄膜方阻分别为30.74?/和12.88?/.外加磁场对薄膜可见光透过率影响不大,但使薄膜的吸收边蓝移现象更明显.运用ansys软件对磁控溅射二维磁场分布模拟后发现,外加磁场提高了靶上方横向磁场强度,改善了磁场分布的均匀性,加强了磁场对电子的磁控作用,提高了靶电流,是AZO薄膜的溅射速率、光电性能和形貌结构得到提高和优化的原因.  相似文献   

19.
Thin films of tungsten trioxide (WO3) are prepared by reactive pulsed laser deposition (PLD) technique on glass substrates at three different substrate temperatures (Ts). The structural, morphological and optical properties of the deposited films are systematically studied using X-ray diffraction (XRD), grazing incidence X-ray diffraction (GIXRD), micro-Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM) and UV-VIS spectrophotometry techniques. X-ray diffraction analysis reveals that crystalline WO3 films can grow effectively even at 300 K at an oxygen pressure of 0.12 mbar. All the films deposited at various Ts exhibit mixed oxide phase consisting of orthorhombic and triclinic phase of tungsten oxide with a preferred orientation along (0 0 1) lattice plane reflection. Micro-Raman results are consistent with X-ray diffraction findings. The SEM analysis shows that deposited films are porous and crystalline grains are of nano-metric dimension. The effect of Ts on mean surface roughness studied by AFM analysis reveals that mean surface roughness decreases with increase in Ts. The optical response of WO3 layers measured using UV-VIS spectrophotometry is used to extract the optical constants such as refractive index (n), extinction coefficient (k) and optical band gap (Eg), following the method of Swanepoel.  相似文献   

20.
Aluminum oxide deposited on a clean rhenium field emission microscope tip at room temperature starts to migrate on the metal surface at about 600° C. Various face specific layer formations occur above this temperature. They either increase or decrease the local electron emission. Complete desorption of the aluminum oxide is obtained at about 1350°C. The remaining oxygen can be removed by heating at 1900°C. Average work function changes are discussed together with the corresponding FEM patterns of the various adsorption stages. Comparisons are made with the adsorption system aluminum oxide on tungsten.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号