首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 687 毫秒
1.
Polyimide thin films, which possess good stability and film uniformity, are successfully fabricated on single crystal silicon wafers coated with a thin polymer brush by suface-initiated polymerization (SIP) as an adhesive layer. The growth kinetic of polyglycidyl methacrylate (PGMA) brush was studied by the means of ellipsometry. The nano-scale morphology and chemical composition of PGMA brush and polyimide film were studied with atomic force microscopy (AFM), Fourier transform infrared spectrum (FT-IR), and X-ray photoelectron spectroscopy (XPS). The tribological behaviors of the thin films sliding against AISI-52100 steel ball were examined on a static-dynamic friction precision measurement apparatus and UMT-2MT tribometer. The worn surface of the polyimide thin films was investigated with scanning electron microscopy (SEM). The results indicated that the chemically bonded polyimide films exhibited better friction reduction and antiwear behavior compared to the polymide films on bare silicon surface. At a load of 0.5 N and sliding speed of 20 mm s−1, the durability life of the polyimide thin films is over 25,000 sliding cycles and the friction coefficient is about 0.08.  相似文献   

2.
The structural properties of fluorine containing polymer compounds make them highly attractive materials for hydro-oleophobic applications. However, most of these exhibit low surface energy and poor adhesion on the substrates. In the present investigation, crack free, smooth and uniform thin films of poly[4,5-difluoro-2,2-bis(trifluoromethyl)-1,3-dioxole]-co-tetrafluoroethylene (TFD-co-TFE) with good adhesion have been deposited by wet chemical spin-coating technique on polished AISI 440C steel substrates. The as-deposited films (xerogel films) have been subjected to annealing for 1 h at different temperatures ranging from 100 to 500 °C in an argon atmosphere. The size growth of the nano-hemispheres increased from 8 nm for xerogel film to 28 nm for film annealed at 400 °C. It was found that as the annealing temperature increased from 100 to 400 °C, nano-hemisphere-like structures were formed, which in turn have shown increase in the water contact angle from 122° to 147° and oil (peanut) contact angle from 85° to 96°. No change in the water contact angle (122°) has been observed when the films deposited at room temperature were heated in air from 30 to 80 °C as well as exposed to steam for 8 days for 8 h/day indicating thermal stability of the film.  相似文献   

3.
Control of cell adhesion to surfaces is important to develop analytical tools in the areas of biomedical engineering. To control cell adhesiveness of the surface, we constructed a variety of plasma polymerized hexamethyldisiloxane (PPHMDSO) thin films deposited at the plasma power range of 10-100 W by plasma enhanced chemical vapor deposition (PECVD). The PPHMDSO film that was formed at 10 W was revealed to be resistant to cell adhesion. The resistance to cell adhesion is closely related to physicochemical properties of the film. Atomic force microscopic data show an increase in surface roughness from 0.52 nm to 0.74 nm with increasing plasma power. From Fourier transform infrared (FT-IR) absorption spectroscopy data, it was also determined that the methyl (-CH3) peak intensity increases with increasing plasma power, whereas the hydroxyl (-OH) peak decreases. X-ray photoelectron spectroscopy data reveal an increase in C-O bonding with increasing plasma power. These results suggest that C-O bonding and hydroxyl (-OH) and methyl (-CH3) functional groups play a critical part in cell adhesion. Furthermore, to enhance a diversity of film surface, we accumulated the patterned plasma polymerized ethylenediamine (PPEDA) thin film on the top of the PPHMDSO thin film. The PPEDA film is established to be strongly cell-adherent. This patterned two-layer film stacking method can be used to form the selectively limited cell-adhesive PPEDA spots over the adhesion-resistant surface.  相似文献   

4.
This study examined the friction and wear behavior of diamond-like carbon (DLC) films deposited from a radio frequency glow discharge using a hydrogen diluted benzene gas mixture. The DLC films were deposited on Si (1 0 0) and polished stainless steel substrates by radio frequency plasma-assisted chemical vapor deposition (r.f.-PACVD) at hydrogen to benzene ratios, or the hydrogen dilution ratio, ranging from 0 to 2.0. The wear test was carried out in both ambient and aqueous environments using a homemade ball-on-disk type wear rig. The stability of the DLC coating in an aqueous environment was improved by diluting the benzene precursor gas with hydrogen, suggesting that hydrogen dilution during the deposition of DLC films suppressed the initiation of defects in the film and improved the adhesion of the coating to the interface.  相似文献   

5.
Amorphous hydrogenated silicon carbonitride thin films (a-Si:C:N:H), deposited by plasma enhanced chemical vapour deposition (PECVD) using hexamethyldisilazane (HMDSN) as monomer and Ar as feed gas, have been investigated for their structural and optical properties as a function of the deposition RF plasma power, in the range of 100-300 W. The films have been analysed by Fourier transform infrared spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS), UV-vis-NIR spectrophotometry and atomic force microscopy (AFM). From the analysis of the FT-IR spectra it results that the films become more amorphous and inorganic as RF plasma power increases. The incorporation of oxygen in the deposited layers, mainly due to the atmospheric attack, has been evaluated by XPS and FT-IR spectroscopy. Reflectance/transmittance spectra, acquired in the range of 200-2500 nm, allow to descrive the film absorption edge for interband transitions. A relationship between the optical energy band gap, deduced from the absorption coefficient curve, and the deposition RF plasma power has been investigated. The reduction of the optical energy gap from 3.85 to 3.69 eV and the broadening of the optical absorption tail with RF plasma power increasing from 100 to 300 W are ascribed to the growth of structural disorder, while the increase of the refractive index, evaluated at 630 nm, is attributed to a slight densification of the film. The AFM analysis confirms the amorphous character of the films and shows how the deposited layers become rougher when RF plasma power increases. The wettability of the film has been studied and related to the chemical composition and to the morphology of the deposited layers.  相似文献   

6.
Amorphous-carbon (a-C) films were deposited on a single-crystal silicon substrate by vacuum vapor deposition system and these amorphous carbon films were implanted with 110 keV C+ at fluences of 1 × 1017 ions/cm2. The effect of ion mixing on the surface morphology, friction behavior and adhesion strengths of amorphous carbon films was examined making use of atomic force microscopy (AFM), ball-on-disk reciprocating friction tester, nano-indentation system and scanning electron microscope (SEM). The changes in chemical composition and structure were investigated by using X-ray photoelectron spectroscopy (XPS). The results show that the anti-wear life and adhesion of amorphous carbon films on the Si substrates were significantly increased by C ion implantation. The SiC chemical bonding across the interface plays a key role in the increase of adhesion strength and the anti-wear life of amorphous carbon film. The friction and wear mechanisms of amorphous carbon film under dry friction condition were also discussed.  相似文献   

7.
TiAlN films were deposited on AISI O1 tool steel using a triode magnetron sputtering system. The bias voltage effect on the composition, thickness, crystallography, microstructure, hardness and adhesion strength was investigated. The coatings thickness and elemental composition analyses were carried out using scanning electron microscopy (SEM) together with energy dispersive X-ray (EDS). The re-sputtering effect due to the high-energy ions bombardment on the film surface influenced the coatings thickness. The films crystallography was investigated using X-ray diffraction characterization. The X-ray diffraction (XRD) data show that TiAlN coatings were crystallized in the cubic NaCl B1 structure, with orientations in the {1 1 1}, {2 0 0} {2 2 0} and {3 1 1} crystallographic planes. The surface morphology (roughness and grain size) of TiAlN coatings was investigated by atomic force microscopy (AFM). By increasing the substrate bias voltage from −40 to −150 V, hardness decreased from 32 GPa to 19 GPa. Scratch tester was used for measuring the critical loads and for measuring the adhesion.  相似文献   

8.
(Cr, Al)N films were deposited by pulsed bias arc ion plating on HSS and 316L stainless steel substrates. With pulsed substrate bias ranging from −100 V to −500 V, the effect of pulsed bias on film composition, phase structure, deposition rate and mechanical properties was investigated by EDX, XRD, SEM, nanoindentation and scratch measurements. The high-temperature (up to 900 °C) oxidation resistance of the films was also evaluated. The results show that Al contents and deposition rates decrease with increasing pulsed bias and the ratio of (Cr + Al)/N is almost constant at 0.95. The as-deposited (Cr, Al)N films crystallize in the pseudo-binary (Cr, Al)N and Al phases. The film hardness increases with increasing bias and reaches the maximum 21.5 GPa at −500 V. The films deposited at −500 V exhibit a high adhesion force, about 70 N, and more interestingly good oxidation resistance when annealed in air at 900 °C for 10 h.  相似文献   

9.
Uranium dioxide films were deposited on Si (1 1 1) substrates by dc magnetron sputtering method at different sputtering parameters. The structure, morphology and chemical state of the films were studied by field emission scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy and atomic force microscopy. Influences of film thickness on the microstructure and optical properties were investigated. Experimental results show that the film crystallites are preferentially oriented with the (1 1 1) planes. The average grain size increases with increasing film thickness. AFM images show that the root mean square roughness of the films is between 1.2 nm and 2.1 nm. Optical constants (refractive index, extinction coefficient) of the films in the wavelength range of 350-1000 nm are obtained by ellipsometric spectroscopy. The result shows that the refractive index decreases with the increasing film thickness, while extinction coefficient increases with the film thickness.  相似文献   

10.
Pulsed laser deposition technique is used for deposition of tungsten-doped indium oxide films. The effect of film thickness on structural, optical and electrical properties was studied using X-ray diffraction (XRD), atomic force microscopy, UV-visible spectroscopy, and electrical measurements. X-ray diffraction study reveals that all the films are highly crystalline and oriented along (2 2 2) direction and the film crystallinity increases with increase in film thickness. Atomic force microscopy analysis shows that these films are very smooth with root mean square surface roughness of ∼1.0 nm. Bandgap energy of the films depends on thickness and varies from 3.71 eV to 3.94 eV. It is observed that resistivity of the films decreases with thickness, while mobility increases.  相似文献   

11.
TiO2 thin film was deposited on non-heated Si(1 0 0) substrate by RF magnetron sputtering. The as-deposited films were annealed by a conventional thermal annealing (CTA) and rapid thermal annealing (RTA) at 700 and 800 °C, and the effects of annealing temperature and method on optical properties of studied films were investigated by measuring the optical band gaps and FT-IR spectra. And we also compared the XRD patterns of the studied samples. The as-deposited film showed a mixed structure of anatase and brookite. Only rutile structures were found in samples annealed above 800 °C by CTA, while there are no special peaks except the weak brookite B(2 3 2) peak for the sample annealed at (or above) 800 °C by RTA. FT-IR spectra show the broad peaks due to Ti-O vibration mode in the range of 590-620 cm−1 for the as-deposited film as well as samples annealed by both annealing methods at 700 °C. The studied samples all had the peaks from Si-O vibration mode, which seemed to be due to the reaction between TiO2 and Si substrate, and the intensities of these peaks increased with increasing of annealing temperature. The optical band gap of the as-deposited film was 3.29 eV but it varied from 3.39 to 3.43 eV as the annealing temperature increased from 700 to 800 °C in the samples annealed by CTA. However, it varied from 3.38 to 3.32 eV as the annealing temperature increased from 700 to 800 °C by RTA.  相似文献   

12.
Thin films of titanium nitride (TiN) were deposited on stainless steel substrates by a modified deposition technique, double-layered shielded arc ion plating with vicarious circular holes (DL-SAIP). The results show that the TiN film with the distance of 10 mm between the double-layered shield plates had the least droplets. The deposition rate of the films prepared with the new technique was more homogeneous than that of all the other shielded arc ion plating. The film/substrate adhesion and microhardness values of the TiN films were higher than 40 N and 18 GPa, respectively. Thus such TiN thin films can be expected in applications.  相似文献   

13.
Graphene sheets were produced by chemical reduction of graphite oxides in the solution of ionic conductive polymer, Nafion. The obtained graphene, coated with Nafion, can be re-dispersed in water, and readily forms stable dispersed state. The polymer-coated graphene had been characterized by FT-IR spectroscopy, UV-vis and X-ray photoelectron spectroscopy (XPS). The PEDOT film with Nafion-coated graphene increased significantly from 0.25 S/cm for pure PEDOT film and reached 12 S/cm. Further, the films of PEDOT doped Nafion-coated graphene had also higher conductivities compared to films doped PSS-coated graphene.  相似文献   

14.
Nitride coatings have been used to increase hardness and to improve the wear and corrosion resistance of structural materials. Coatings of TiN/ZrN were grown on stainless steel substrates using a physical vapour deposition system assisted by pulsed arc plasma (PAPVD). The coatings have been characterized by X-ray diffraction (XRD) in order to identify the present phases of the films, microstrain level generated, crystallite size and the variation of the lattice parameter. The results showed plane orientations (1 1 1) and (2 0 0) in both TiN and ZrN films. Morphology surface analysis of the samples were performed using a scanning probe microscope to characterize the grain size and roughness in the mode of the atomic force microscopy (AFM) hence it was observed that the root-mean-squared (rms) roughness for ZrN is smaller than for TiN. Besides elastic and friction properties of the films were characterized qualitatively, and then, they were compared with those of the substrates by using force modulation microscopy (FMM) and lateral force microscopy (LFM) modes. In addition, an elemental analysis of the samples was realized by means of energy dispersive spectroscopy (EDS). Both, XRD and AFM results are given as a function of the number of shots. Chemical states of the TiN and ZrN films were determined by X-ray photoelectron spectroscopy (XPS).  相似文献   

15.
Single-phase β-FeSi2 films on silicon (1 0 0) were fabricated by pulse laser deposition. The structure and crystal quality of the samples were characterized by X-ray diffraction and Fourier transform infrared spectroscopy. The field scanning electron microscopy showed that the film thickness increases with the increasing of the laser fluence. Moreover, atomic force microscopy observations revealed the changes of surface properties with different laser fluence. Based upon all experimental results, it is found that 7 J/cm2 is the most favorable for the formation of β-FeSi2 thin films.  相似文献   

16.
Tantalum nitride films (TaN) were synthesized by microwave ECR-DC sputtering. The effects of deposition and annealing temperature on mechanical properties of TaN films were investigated. Cross-section pattern, microstructure and binding energy of the films were investigated by scanning electron microscope (SEM), X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS), respectively. Mechanical properties were evaluated using nano-indentation and scratch tester. The results showed that the maximal hardness value of approximately 40 GPa was deposited in the TaN sample at 573 K. While the preparation temperature decreased, the hardness, modulus and adhesion of TaN film also decreased. Hardness and modulus also decreased with the increase in annealing temperature. Meanwhile the adhesion strength was also sensitive to the annealing temperature, with a maximum adhesion strength of 40 N measured in the TaN film annealed at 448 K. The results demonstrated that a desirable mechanical property of TaN films deposited by DC reactive magnetron sputtering can be obtained by controlling the deposition and annealing temperature.  相似文献   

17.
The objective of this work is to develop an experimental indentation based method to determine the fracture force at the interface of Pd thin films and SrTiO3 perovskite substrate. This paper reports on the results obtained for indentation into Pd thin films which were deposited in various thicknesses from 20 nm to 200 nm under vacuum and 300 °C substrate temperature by an electron beam physical vapor deposition. Initially, the relation between grain size, elastic module and hardness was considered as a function of film thickness. Thereafter, in developing new method, oscillating indentation was performed with different applied forces and oscillating times in order to measure the critical fracture force in each thickness. The effect of oscillating time on plastically deformed regions surrounding an indentation was schematically explained in conjunction with variation of oscillating time to determine the interfacial properties of the Pd thin film. Furthermore, the accuracy of the critical fracture force was ensured by applied force versus piling up height plot. The method is validated experimentally for the soft thin films over the hard substrate. However, further study would be essential to measure the film adhesion by means of fracture force at the interface.  相似文献   

18.
The purpose of this study has been to advance in knowledge of the chemical composition, structure and thickness of the thin native oxide film formed spontaneously in contact with the laboratory atmosphere on the surface of freshly polished commercial AZ31 and AZ61 alloys with a view to furthering the understanding of protection mechanisms. For comparative purposes, and to more fully describe the behaviour of the native oxide film, the external oxide films formed as a result of the manufacturing process (as-received condition) have been characterised. The technique applied in this research to study the thin oxide films (thickness of just a few nanometres) present on the surface of the alloys has basically been XPS (X-ray photoelectron spectroscopy) in combination with ion sputtering. Corrosion properties of the alloys were studied in 0.6 M NaCl by measuring charge transfer resistance values, which are deduced from EIS (electrochemical impedance spectroscopy) measurements after 1 h of exposure. Alloy AZ61 generally showed better corrosion resistance than AZ31, and the freshly polished alloys showed better corrosion resistance than the alloys in as-received condition. This is attributed to a combination of (1) higher thickness of the native oxide film on the AZ61 alloy and (2) greater uniformity of the oxide film in the polished condition. The formation of an additional oxide layer composed by a mixture of spinel (MgAl2O4) and MgO seems to diminish the protective properties of the passive layer on the surface of the alloys in as-received condition.  相似文献   

19.
Study of TiN and ZrN thin films grown by cathodic arc technique   总被引:1,自引:0,他引:1  
Thin films of TiN and ZrN were grown on stainless steel 316 substrate using the pulsed cathodic arc technique with different number of discharges (one to five discharges). The coatings were characterized in terms of crystalline structure, microstructure, elementary chemical composition and stoichiometric by X-ray diffraction (XRD), atomic force microscopy (AFM) and X-ray photoelectron spectroscopy for chemical analyses (XPS), respectively. The XRD results show that for TiN as for ZrN, the preferential direction occurs in the plane (2 0 0), and this result stays when increasing the number of discharges. The grain size is increased with the increase of the number of discharges for both nitrides, the roughness for the TiN film is greater than for the ZrN film; these results were determined by AFM. XPS analysis determined that there is a higher nitrogen presence in the ZrN film than in the TiN film.  相似文献   

20.
Copper thin film on silane modified poly(ethylene terephthalate) (PET) substrate was fabricated by ultrasonic-assisted electroless deposition. The composition and topography of copper plating PET films were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and atomic force microscopy (AFM), respectively. Peel adhesion strength, as high as 16.7 N/cm, was achieved for the planting copper layer to the modified PET substrate with ultrasonic-assisted deposition; however, a relative low value as 11.9 N/cm was obtained for the sample without ultrasonic vibration by the same measurement. The electrical conductivity of Cu film was changed from 7.9 × 104 to 2.1 × 105 S/cm by using ultrasonic technique. Ultrasonic operation has the significant merits of fast deposition and formation of good membranes for electroless deposition of Cu on PET film.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号