首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
C(膜)/Si(SiO2 )(纳米微粒)/C(膜)热处理的形态及结构分析   总被引:1,自引:0,他引:1  
用直流辉光溅射+真空镀膜法制备了一种新型结构的硅基纳米发光材料- C(膜)/Si(SiO2)(纳米微粒)/C(膜)夹层膜,并对其进行了退火处理.用TEM、 SEM、 XRD和XPS对其进行了形态结构分析.TEM观察表明: Si(SiO2)纳米微粒基本呈球形,粒径在30 nm左右.SEM观察表明: 夹层膜样品总厚度约为50 μm,膜表面比较平整、致密.400℃退火后,样品表面变得凹凸不平,出现孔状结构; 650℃退火后,样品表面最平整、致密且颗粒均匀.XRD分析表明:制备出的夹层膜主要由SiO2和Si组成,在C原子的还原作用和氧气的氧化作用的共同作用下, SiO2和Si的含量随加热温度的升高而呈现交替变化: 400℃时, C的还原作用占主导地位, SiO2几乎全部被还原成了Si,此时Si含量最高; 400~650℃时,氧化作用占主导地位, Si又被氧化成SiO2, Si含量降低, SiO2含量逐渐上升,在650℃达到最高.XPS分析表明: 在加热过程中, C原子逐渐扩散进入Si(SiO2)微粒层,在650℃与Si反应生成了新的SiC.  相似文献   

2.
A well-ordered, uniform amino (NH(2))-terminated organosilane self-assembled monolayer (SAM) was prepared on a polyimide (PI) substrate, the surface of which had silica-like reactivity. First, through chemical vapor deposition of 1,3,5,7-tetramethylcyclotetrasiloxane and subsequent photooxidation using 172 nm vacuum ultraviolet light, an extremely thin silicon dioxide (SiO(2)) layer about 1 nm thick, which we call an "oxide nanoskin" (ONS), was prepared on a PI substrate. Due to the presence of this ONS layer, the PI surface's properties became almost identical with those of Si covered with native oxide (SiO(2)/Si) without any marked change in surface morphology, as evidenced by zeta-potential measurements, X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM). Next, this ONS-covered PI (ONS/PI) surface was exposed to vapor of a 12.5 vol % solution of N-(6-aminohexyl)(3-aminopropyl)trimethoxysilane (AHAPS) molecules diluted with absolute toluene. On the basis of contact angle analysis, the surface energy of this AHAPS/ONS/PI sample was mostly consistent with that of a SiO(2)/Si substrate covered with an AHAPS-SAM (AHAPS/SiO(2)/Si). On the other hand, the surface energy of an AHAPS-treated PI (AHAPS/PI) substrate was much smaller than that of the AHAPS/ONS/PI substrate due to insufficient surface coverage by the AHAPS molecules. This was also confirmed by lateral force microscopy using photolithographically micropatterned samples. Fabricated micropatterns composed of AHAPS- and SiO(2)-covered regions were clearly imaged on the AHAPS/ONS/PI substrate through their difference in friction, while the friction contrast of the micropatterned AHAPS/PI substrate was unclear. This marked difference in packing density of the AHAPS molecules had a direct influence on the adsorption behavior of palladium colloids and subsequent electroless plating of copper (Cu). As confirmed by AFM and XPS, metallization proceeded only on the AHAPS-covered regions, while the SiO(2)-covered regions remained free of deposits, resulting in the formation of 10-mum-wide Cu microlines on both samples. However, the plating rate achieved on the AHAPS/ONS/PI substrate was about 4.5 times faster than that on the AHAPS/PI substrate and the pattern resolution was considerably fine.  相似文献   

3.
A process to immobilize the enzyme glucose oxidase on SiO2 surfaces for the realization of integrated microbiosensors was developed. The sample characterization was performed by monitoring, step by step, oxide activation, silanization, linker molecule (glutaraldehyde) deposition, and enzyme immobilization by means of XPS, AFM, and contact angle measurements. The control of the environment during the procedure, to prevent silane polymerization, and the use of oxide activation to obtain a uniform enzyme layer are issues of crucial importance. The correct protocol application gives a uniform layer of the linker molecule and the maximum sample surface coverage. This result is fundamental for maximizing the enzyme bonding sites on the sample surface and achieving the maximum surface coverage. Thin SiO2 layers thermally grown on a Si substrate were used. The XPS Si 2p signal of the substrate was monitored during immobilization. Such a signal is not completely shielded by the thin oxide layer and it is fully suppressed after the completion of the whole protocol. A power spectral density analysis on the AFM measurements showed the crucial role of both the oxide activation and the intermediate steps (silanization and linker molecule deposition) to obtain uniform immobilized enzyme coverage. Finally, enzymatic activity measurements confirmed the suitability of the optimized protocol.  相似文献   

4.
Despite the extremely broad technical applications of the Si/SiO2 structure, the equilibrium wetting properties of silicon oxide on silicon are poorly understood. Here, we produce new results in which a solid-state buffer method is used to systematically titrate oxygen activity about the Si/SiO2 coexistence value. The equilibrium morphology at the Si(001) surface over >8 decades of PO2 about coexistence is revealed to be a uniform sub-stoichiometric SiOx film of sub-nanometer thickness, coexisting with secondary island structures which coarsen with annealing time. A new thermodynamic method using chemical potential to stabilize and control surficial oxides in nanoscale devices is suggested.  相似文献   

5.
朱敏亮  罗皓  王丽萍  于贵  刘云圻 《化学学报》2012,70(15):1599-1603
N,N'-二苯基-1,4-苯二胺为原料, 合成了含硫和氮杂原子的并五苯类似物, 用可见-紫外吸收光谱和电化学测试对这类化合物进行表征, 确定了其光学带隙及轨道能级, 与并五苯相比它们具有低的最高占用分子轨道能级. 得到了三苯并二噻嗪的单晶结构, 分子具有平面结构, 分子间具有强的π…π相互作用和N…S相互作用. 首次将该类并五苯类似物应用于有机薄膜场效应晶体管中, 器件显示好的场效应特性, 迁移率为0.01 cm2·V-1·s-1.  相似文献   

6.
A novel Ru complex bearing both an acridine group and anchoring phosphonate groups was immobilized on a surface in order to capture double-stranded DNAs (dsDNAs) from solution. At low surface coverage, the atomic force microscopy (AFM) image revealed the "molecular dot" morphology with the height of the Ru complex ( approximately 2.5 nm) on a mica surface, indicating that four phosphonate anchor groups keep the Ru complex in an upright orientation on the surface. Using a dynamic molecular combing method, the DNA capture efficiency of the Ru complex on a mica surface was examined in terms of the effects of the number of molecular dots and surface hydrophobicity. The immobilized surface could capture DNAs; however, the optimal number of molecular dots on the surface as well as the optimal pull-up speed exist to obtain the extended dsDNAs on the surface. Applying this optimal condition to a Au-patterned Si/SiO 2 (Au/SiO 2) surface, the Au electrode was selectively covered with the Ru complex by orthogonal self-assembly of 4-mercaptbutylphosphonic acid (MBPA), followed by the formation of a Zr (4+)-phosphonate layer and the Ru complex. At the same time, the remaining SiO 2 surface was covered with octylphosphonic acid (OPA) by self-assembly. The selective immobilization of the Ru complex only on the Au electrode was identified by time-of-flight secondary-ion mass spectrometry (TOF-SIMS) imaging on the chemically modified Au/SiO 2 surface. The construction of DNA nanowires on the Au/SiO 2 patterned surface was accomplished by the molecular combing method of the selective immobilized Ru complex on Au electrodes. These interconnected nanowires between Au electrodes were used as a scaffold for the modification of Pd nanoparticles on the DNA. Furthermore, Cu metallization was achieved by electroless plating of Cu metal on a priming of Pd nanoparticles on the Pd-covered DNA nanowires. The resulting Cu nanowires showed a metallic behavior with relatively high resistance.  相似文献   

7.
A quantitative method based on UV-vis diffuse reflectance spectroscopy (DRS) was developed that allows determination of the fraction of monomeric and polymeric VO(x) species that are present in vanadate materials. This new quantitative method allows determination of the distribution of monomeric and polymeric surface VO(x) species present in dehydrated supported V(2)O(5)/SiO(2), V(2)O(5)/Al(2)O(3), and V(2)O(5)/ZrO(2) catalysts below monolayer surface coverage when V(2)O(5) nanoparticles are not present. Isolated surface VO(x) species are exclusively present at low surface vanadia coverage on all the dehydrated oxide supports. However, polymeric surface VO(x) species are also present on the dehydrated Al(2)O(3) and ZrO(2) supports at intermediate surface coverage and the polymeric chains are the dominant surface vanadia species at monolayer surface coverage. The propane oxidative dehydrogenation (ODH) turnover frequency (TOF) values are essentially indistinguishable for the isolated and polymeric surface VO(x) species on the same oxide support, and are also not affected by the Br?nsted acidity or reducibility of the surface VO(x) species. The propane ODH TOF, however, varies by more than an order of magnitude with the specific oxide support (ZrO(2) > Al(2)O(3) > SiO(2)) for both the isolated and polymeric surface VO(x) species. These new findings reveal that the support cation is a potent ligand that directly influences the reactivity of the bridging V-O-support bond, the catalytic active site, by controlling its basic character with the support electronegativity. These new fundamental insights about polymerization extent of surface vanadia species on SiO(2), Al(2)O(3), and ZrO(2) are also applicable to other supported vanadia catalysts (e.g., CeO(2), TiO(2), Nb(2)O(5)) as well as other supported metal oxide (e.g., CrO(3), MoO(3), WO(3)) catalyst systems.  相似文献   

8.
This study describes a general approach for probing semiconductor-dielectric interfacial chemistry effects on organic field-effect transistor performance parameters using bilayer gate dielectrics. Organic semiconductors exhibiting p-/n-type or ambipolar majority charge transport are grown on six different bilayer dielectric structures consisting of various spin-coated polymers/HMDS on 300 nm SiO(2)/p(+)-Si, and are characterized by AFM, SEM, and WAXRD, followed by transistor electrical characterization. In the case of air-sensitive (generally high LUMO energy) n-type semiconductors, dielectric surface modifications induce large variations in the corresponding OTFT performance parameters although the film morphologies and microstructures remain similar. In marked contrast, the device performance of air-stable n-type and p-type semiconductors is not significantly affected by the same dielectric surface modifications. Among the bilayer dielectric structures examined, nonpolar polystyrene coatings on SiO(2) having minimal gate leakage and surface roughness significantly enhance the mobilities of overlying air-sensitive n-type semiconductors to as high as approximately 2 cm(2)/(V s) for alpha,omega-diperfluorohexylcarbonylquaterthiophene polystyrene/SiO(2). Electron trapping due to silanol and carbonyl functionalities at the semiconductor-dielectric interface is identified as the principal origin of the mobility sensitivity to the various surface chemistries in the case of n-type semiconductors having high LUMO energies. Thiophene-based n-type semiconductors exhibiting similar film morphologies and microstructures on various bilayer gate dielectrics therefore provide an incisive means to probe TFT performance parameters versus semiconductor-dielectric interface relationships.  相似文献   

9.
The atomic structure of thin silica films grown over a Ru(0001) substrate was studied by X-ray photoelectron spectroscopy, infrared reflection absorption spectroscopy, low energy electron diffraction, helium ion scattering spectroscopy, CO temperature programmed desorption, and scanning tunneling microscopy in combination with density functional theory calculations. The films were prepared by Si vapor deposition and subsequent oxidation at high temperatures. The silica film first grows as a monolayer of corner-sharing [SiO(4)] tetrahedra strongly bonded to the Ru(0001) surface through the Si-O-Ru linkages. At increasing amounts of Si, the film forms a bilayer of corner-sharing [SiO(4)] tetrahedra which is weakly bonded to Ru(0001). The bilayer film can be grown in either the crystalline or vitreous state, or both coexisting. Further increasing the film thickness leads to the formation of vitreous silica exhibiting a three-dimensional network of [SiO(4)]. The principal structure of the films can be monitored by infrared spectroscopy, as each structure shows a characteristic vibrational band, i.e., ~1135 cm(-1) for a monolayer film, ~1300 cm(-1) for the bilayer structures, and ~1250 cm(-1) for the bulk-like vitreous silica.  相似文献   

10.
This work demonstrates that well-defined mixed carboxyl-terminated/methyl-terminated alkyl monolayers can be prepared in one step on H-terminated Si(111) via direct photochemical hydrosilylation of undecylenic acid and 1-decene mixtures. As evidenced by AFM imaging and IR spectroscopy, a final rinse in hot acetic acid leaves the functionalized surface atomically smooth and perfectly free of physisorbed contaminants while unwanted material remains atop the monolayer with most other common solvents. The compositional surface chemistry was determined from a truly quantitative IR (ATR geometry) study in the range of 900-4000 cm(-)(1). Results prove that neither surface oxidation nor grafting through the carboxyl end groups occurs. Monolayers are fairly dense for such bulky end groups, with a total molecular surface density of approximately 2.7 10(14) cm(-)(2) corresponding to a surface coverage of 0.35 (maximum theoretical value approximately 0.5). Careful analysis of the CH- and COOH-related IR bands reveals that the composition of the grafted layers is richer in acid chains than the starting grafting mixture. A simple model is presented that shows that the grafting kinetics is about twice as fast for undecylenic acid as for 1-decene. Complementary electrochemical impedance measurements indicate the excellent electronic properties of the interface with a very low density of gap states. They also show that the acid terminal groups promote the penetration of water in the outer part of the organic film.  相似文献   

11.
Mesoporous SiO2-P2O5 films were synthesized from the vapor phase onto a silicon substrate. First, a precursor solution of cetyltrimethylammonium bromide (C16TAB), H3PO4, ethanol, and water was deposited on a silicon substrate by a spin-coating method. Then, the C16TAB-H3PO4 composite film was treated with tetraethoxysilane (TEOS) vapor at 90-180 degrees C for 2.5 h. The H3PO4-C16TAB composite formed a hexagonal structure on the silicon substrate before vapor treatment. The TEOS molecules penetrated into the film without a phase transition. The periodic mesostructure of the SiO2-P2O5 films was retained after calcination. The calcined films showed a high proton conductivity of about 0.55 S/cm at room temperature. The molar ratio of P/Si in the SiO2-P2O5 film was as high as 0.43, a level that was not attained by a premixing sol-gel method. The high phosphate group content and the ordered periodic mesostructure contributed to the high proton conductivity.  相似文献   

12.
The present paper describes the one-pot procedure for the formation of self-assembled thin films of two silanes on the model oxidized silicon wafer, SiO2/Si. SiO2/Si is a model system for other surfaces, such as glass, quartz, aerosol, and silica gel. MALDI-TOF MS with and without a matrix, XPS, and AFM have confirmed the formation of self-assembled thin films of both 3-imidazolylpropyltrimethoxysilane (3-IPTS) and 4-(N-propyltriethoxysilane-imino)pyridine (4-PTSIP) on the SiO2/Si surface after 30 min. Longer adsorption times lead to the deposition of nonreacted 3-IPTS precursors and the formation of agglomerates on the 3-IPTS monolayer. The formation of 4-PTSIP self-assembled layers on SiO2/Si is also demonstrated. The present results for the flat SiO2/Si surface can lead to a better understanding of the formation of a stationary phase for affinity chromatography as well as transition-metal-supported catalysts on silica and their relationship with surface roughness and ordering. The 3-IPTS and 4-PTSIP modified SiO2/Si wafers can also be envisaged as possible built-on-silicon thin-layer chromatography (TLC) extraction devices for metal determination or N-heterocycle analytes, such as histidine and histamine, with "on-spot" MALDI-TOF MS detection.  相似文献   

13.
Electrochemical reduction of the diazonium salts of 4-nitrobenzene and 4-nitroazobenzene-4'- has been investigated in aqueous acid and acetonitrile media at carbon surfaces. Using pyrolyzed photoresist films as the substrate, we have examined the deposited films using electrochemistry and atomic force microscopy (AFM). Film thicknesses were measured by scratching through the film with an AFM tip. The procedure employed two AFM cantilevers with different lengths, located on the one device. When the shorter cantilever engages the surface in tapping mode, the longer cantilever (which is not resonating) imbeds into the surface with a constant force. For both modifiers and modification media, film thicknesses increase with deposition time to a limiting value. With equivalent modification conditions, films prepared in aqueous acid medium have lower limiting thicknesses than those prepared in acetonitrile. For nitrophenyl (NP) films, the same trends are found when calculating surface coverages from the charge associated with the reduction of surface -Ar-NO2 groups. Lower limiting film thicknesses and surface coverages for films prepared in aqueous conditions is attributed to growth of inherently more blocking films and is supported by examination of the response of the Fe(CN)6(3-/4-) couple at NP-modified surfaces. Combination of voltammetrically determined surface coverage and film thickness data yields a surface coverage of -Ar-NO2 groups of (2.5 +/- 0.5) x 10(-10) mol cm(-2) for a film thickness equivalent to a monolayer of NP groups.  相似文献   

14.
Reactions of Al(III) at the interface between SiO2(s) and aqueous solution were characteristically and quantitatively studied using electrophoretic methods and applying a surface complexation/precipitation model (SCM/SPM). The surface and bulk properties of Al(III)/SiO2 suspensions were determined as functions of pH and initial Al(III) concentration. Simulated modeling results indicate that the SCM, accounting for the adsorption mechanism, predicts sorption data for low surface coverage only reasonably well. Al(III) hydrolysis and surface hydroxide precipitation must be invoked as the Al(III) concentration and/or pH progressively increase. Accordingly, the three processes in the Al(III) sorption continuum, from adsorption through hydrolysis to surface precipitation, could be identified by the divergence between the SCM/SPM predictions and the experimental data. SiO2(s) suspensions with low Al(III) concentrations (1 x 10(-4) and 1 x 10(-5) M) exhibit electrophoretic behavior similar to that of a pure SiO2(s) system. In Al(III)/SiO2 systems with high Al concentrations of 1 x 10(-3), 5 x 10(-3) and 1 x 10(-2) M, three charge reversals (CR) are observed, separately representing, in order of increasing pH, the point of zero charge (PZC) on the SiO2 substrate (CR1), the onset of the surface precipitation of Al hydroxide (CR2), and at a high pH, the PZC of the Al(OH)3 coating (CR3). Furthermore, in the 1 x 10(-3) M Al(III)/SiO2(s) system, CR2 is consistent with the modeling results of SCM/SPM and provides evidence that Al(III) forms a surface precipitate on SiO2(s) at pH above 4. SiO2(s) dissolution was slightly inhibited when Al(III) was adsorbed onto the surface of SiO2(s), as compared to the dissolution that occurs in a pure SiO2(s) suspension system. Al hydroxide surface precipitation dramatically reduced the dissolution of SiO2(s) because the Al hydroxide passive film inhibited the corrosion of the SiO2(s) surface by OH- ions.  相似文献   

15.
采用溶胶-凝胶方法制备了一种新颖的具有辐射聚合能力的HfO2/SiO2凝胶薄膜. 并采用X射线作曝光光源对薄膜进行了曝光, 通过FTIR的测试, 分析了薄膜曝光前后的结构变化. 结果表明, 该材料具有良好的辐射聚合能力. 采用XPS分析了薄膜的成分, 并证实了Hf元素的存在. 用椭偏仪测试了薄膜的折射率, 结果证实, 加入HfO2提高了体系的折射率. 利用其辐射聚合能力, 采用X射线通过掩模板进行曝光, 利用曝光部分与未曝光部分在溶剂中的溶解度差, 在薄膜上制备了高为0.8 μm、周期为1 μm的衍射光栅, 进一步证实了材料具有良好的辐射聚合能力.  相似文献   

16.
The oxidation of nanosized metallic cobalt to cobalt oxide during Fischer-Tropsch synthesis (FTS) has long been postulated as a major deactivation mechanism. In this study a planar Co/SiO(2)/Si(100) model catalyst with well-defined cobalt crystallites, close to the threshold value reported for oxidation in the literature (4-10 nm), was prepared by the spin coating method. The planar Co/SiO(2)/Si(100) model catalyst was characterized with atomic force microscopy, X-ray photoelectron spectroscopy, and Rutherford backscattering. The surface oxidation behavior of the nanosized metallic cobalt crystallites of 4-5 nm was studied using in situ near-edge X-ray absorption fine structure under model FTS conditions, i.e., H(2)/H(2)O = 1, P(Total) = 0.4 mbar, and 150-450 degrees C. No surface oxidation of metallic cobalt was observed under these model FTS conditions over a wide temperature range, i.e., 150-400 degrees C.  相似文献   

17.
利用原子转移自由基聚合(ATRP)和点击化学(Click)反应, 在硅基底上制备了聚苯乙烯-b-聚乙二醇(PS-b-PEG)两亲性嵌段共聚物刷. 首先, 利用ATRP方法在表面改性的硅片引发苯乙烯单体(St)的聚合, 得到PS-Br均聚刷, 然后通过叠氮化钠(NaN3)将均聚刷末端功能化为PS-N3, 再与炔基聚乙二醇甲醚(Alkynyl-PEG)发生Click反应, 得到PS-b-PEG嵌段共聚物刷. 通过X射线光电子能谱(XPS)和接触角测量仪表征了聚合物刷的表面化学组成和表面亲疏水性质, 证明在硅基底上接枝了嵌段共聚物刷. 用原子力显微镜(AFM)观察了PS-b-PEG嵌段共聚物刷在不同溶剂处理后的形态结构变化, 研究了其响应行为.  相似文献   

18.
Successful realization of highly crystalline and densely packed Ag2S nanocrystal (NC) films has been achieved by directly converting precursor molecules, Ag(SCOPh), on preheated substrates. When an aliquot of Ag(SCOPh) solution dissolved in trioctylphosphine (TOP) is applied on preheated solid substrates at 160 degrees C, such as SiO2/Si, H-terminated Si, and quartz. Ag2S NC thin films have been formed with instant phase and color changes of the precursor solutions from pale yellow homogeneous solution to black solid films. The average diameter of individual Ag2S NCs forming thin films is ca. 25 nm, as confirmed by examining both isolated Ag2S NCs from thin films and as-made thin film samples by using transmission electron microscopy (TEM) and scanning electron microscopy (SEM), respectively. Powder X-ray diffraction (XRD) pattern shows that the synthesized Ag2S NCs have well-defined monoclinic acanthite phase. Direct precursor conversion process has resulted in densely packed Ag2S NCs with reduced interparticle distances owing to efficient removal of TOP during the reaction. Compared to the devices fabricated by the drop-coating process, Ag2S thin film devices fabricated by direct precursor conversion process have shown a ca. 300-fold increased conductance. Such Ag2S NC devices have also displayed reliable photoresponses upon white light illumination with high photosensitivity (S approximately equal to 1).  相似文献   

19.
The composite film of nanometer AgO2/silane coupling reagent aminopropyltriethoxy-silane (CH3O)3Si(CH2)3NH2was prepared on single-crystal silicon by the self-assembly of silane on the hydroxylated substrate followed with the deposition of nanometer AgO2 on the silane SAMs from an aqueous Ag2O gel. The resultant composite film was characterized by means of X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). The contact angles of distilled water on the silane SAMs and the composite film were measured to compare the surface states. The experiment shows that the nanometer Ag2O can be easily incorporated in the silane SAMs and lead to changed surface state of the composite film. Nanometer Ag2O crystallites in a size of about 20 nm distribute quite uniformly in the composite film. It was anticipated that the composite film might find application to the protection of single-crystal Si substrate in MEMS devices and also propose a novel single electron device structure based on nanoscale Ag2O colloidal particles.  相似文献   

20.
The size evolution of platinum nanoparticles formed on a SiO2/Si(111) substrate as a function of the level of surface coverage with deposited clusters has been investigated. The anisotropic shapes of sub-nanometer-size nanoparticles are changed to isotropic on the amorphous substrate as their sizes increased. Using anomalous grazing incidence small-angle x-ray scattering (AGISAXS), the scattering from nanoparticles on the surface of a substrate is well separated from that of surface roughness and fluorescence. We show that AGISAXS is a very effective method to subtract the background and can provide unbiased information about particle sizes of less than 1 nm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号