首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 187 毫秒
1.
In this work, surface analysis technology is employed to investigate the removal mechanism and the selection of abrasive during fused silica chemical mechanical polishing (CMP). Morphology of abrasives is inspected by scanning electron microscope (SEM). The atomic force microscope (AFM) is used to determine the surface roughness (Rq) and undulating (PV) of the polished fused silica surface. The results show that abrasive morphology has a tremendous influence on removal rate (MRR) and PV but has little effect on the Rq. The AFM and infrared spectroscopy (IR) analysis show that a soft layer, called “silica gel membrane (SGM),” existed on the polished surface is the critical reason for the differences of MRR, Rq, and PV during CMP. For three kinds of micro-ceria abrasives, the abrasive with a rougher surface gets more opportunities to contact the surface of fused silica, yielding higher MRR. Regarding different kinds of nano-abrasives, there are more SGM induced by nano-ceria abrasive resulting from higher chemical reaction rate. The element contaminations on the polished fused silica have been assessed using X-ray photoelectron spectroscopy (XPS), and the results suggest that there are depths of 3.6 and 5.4-nm element contaminations on the polished surface of fused silica with nano-ceria and nano-alumina abrasives, respectively. While the surface polished by nano-silica is free of heterogeneous element contaminations. Based on study results, a novel polishing slurry is designed by modifying the chemical composition of nano-silica. Comparing with ceria-based slurry, the silica-based slurry has better removal efficiency, and surface quality in fused silica precision machining.  相似文献   

2.
Abrasive properties of cocoon shaped silica particles fabricated by a sol–gel method have been studied. Since silicon wafers are polished with slurry by the mechanism of Chemical Mechanical Polishing, polishing rates may depend on various chemical and mechanical factors, such as particle concentration in slurry, slurry pH and kinds of basic compounds for controlling the slurry pH. The silicon wafer was polished by slurry continuously fed on a pad, and the polishing rate was estimated as a weight loss of the wafer. For studying the effects of the various factors on the rate, the slurries were prepared by adding the silica particles, basic compounds or salts, and the polishing rates of the slurry were measured. The effects of the various factors were made clear as follows: For the effect of particle concentration, the rates increased with increasing of the concentrations up to 1.0 wt.%. And for the effect of the slurry pH, slurries added basic compounds, such as KOH, NaOH, ammonia, were tested, and it was found that increasing of the slurry pH brought increases of the polishing rates. KOH-containing slurry of pH 13.2 had the fastest rate, 3.6 times as fast as the standard slurry with pH 9.4. For the effect of the adding of salts, it was indicated that the salts, such as KCl, NaCl, NH4Cl, NaNO3 and K2SO4 increased the polishing rates, and that KCl-containing slurry of 0.36 mol/l had the highest polishing rate, 3.4 times as fast as that of the standard slurry containing no salts.  相似文献   

3.
The use of monodispersed colloids in the polishing of copper and tantalum   总被引:3,自引:0,他引:3  
The properties of abrasive particles play a significant role in chemical mechanical polishing (CMP) of metal and dielectric films in semiconductor device manufacturing. This study investigates the effects of the particle size, shape, and hardness of abrasives on the polishing of copper and tantalum films in the presence of different slurry chemistries. Well-defined dispersions of uniform particles, including spherical silica of varying diameters, hematite of different shapes, and hematite cores coated with silica of different thicknesses, were prepared and used to polish blanket films of Cu and Ta. It was shown that the total surface area of the solids in the slurry controlled the rate of material removal by pure silica for both Cu and Ta, while the surface quality of the polished films was better when higher silica content was used. The shape or the aspect ratio of hematite particles had a minor effect on the removal rate. In contrast, when hematite particles coated with silica were employed in the polishing of Cu and Ta, the polish rate decreased with increasing thickness of the shell.  相似文献   

4.
以原位化学沉淀的方法制备了不同粒径、包覆结构PS(核)/CeO2(壳)复合微球,利用X射线衍射仪、透射电子显微镜、选区电子衍射、场发射扫描电子显微镜、能谱分析仪、Fourier转换红外光谱仪、热失重分析仪和ζ电位测定仪等手段对所制备样品的微观结构进行了表征。将所制备的复合微球用做磨料,考察其对二氧化硅介质层的抛光性能,用原子力显微镜观察和测量抛光表面的微观形貌、轮廓曲线和粗糙度。结果表明,所制备的PS/CeO2复合微球具有核壳包覆结构,粒径分别约为140,180和220 nm,PS内核被粒径约为5 nm的CeO2颗粒均匀包覆。AFM结果显示,复合磨料的粒径越小,抛光后表面粗糙度越低;且酸性(pH=3)比碱性(pH=10)抛光浆料具有更好的抛光效果。  相似文献   

5.
In this work, we investigated the adsorption characteristics of anionic polyelectrolytes, which are used in shallow trench isolation chemical mechanical polishing with ceria abrasives. Specifically, the adsorption isotherms and chain conformation of anionic polyelectrolytes were studied in order to elucidate the difference in removal rates of silicon dioxide (SiO2) and silicon nitride (Si3N4) layers and the high selectivity characteristics of ceria slurry. Adsorption isotherms, FT-IR spectroscopy and contact angle measurements revealed that the anionic polyelectrolyte additives had much better adsorption affinities for the Si3N4 surface than for the SiO2 surface. Moreover, blanket wafer polishing results were successfully correlated with the adsorption isotherms of polyelectrolytes on the oxide particle suspensions.  相似文献   

6.
A cationic polymer, poly(diallyldimethylammonium chloride), or PDADMAC (MW ≈ 200,000), at a concentration of 250 ppm was used to enhance polysilicon removal rates (RRs) to ~600 nm/min while simultaneously suppressing both silicon dioxide and silicon nitride RRs to <1 nm/min, both in the absence or in the presence of ceria or silica abrasives during chemical mechanical polishing (CMP). These results suggest that aqueous abrasive-free solutions of PDADMAC are very attractive candidates for several front-end-of-line (FEOL) CMP processes. Possible mechanisms for the enhancement of poly-Si RR and the suppression of oxide and nitride RRs are proposed on the basis of the RRs, contact angle data on poly-Si films, zeta potentials of polishing pads, polysilicon films, silicon nitride particles, and silica and ceria abrasives, thermogravimetric analysis, and UV-vis spectroscopy data.  相似文献   

7.
Monocrystalline semiconductor wafers made of silicon represent the base material for microelectronic devices. The transfer of the precursor material quartz into a 300 mm wafer deposited with an epitaxial layer requires a multitude of process steps, part of which are determined by chemical reactions. This article has introduced into the manufacturing of semiconductor silicon and the processes etching, polishing, cleaning and epitaxy. This technology branch, though, is distinguished by extreme requirements regarding surface perfection and cleanliness, which may be expressed in atomic layers (surface roughness of the wafers) and ppt (contamination of chemicals)  相似文献   

8.
Two unique commercial applications of the sol-gel process in Japan are presented. One application involves alkoxide-derived nanometer-sized silica particles used in the final polishing of silicon wafers for the fabrication of integrated circuits. The particles are cocoon-like in shape and have almost replaced conventional abrasives because of the advantages over spherical particles of similar size in terms of obtaining high polishing efficiency for good surface finish. The other application concerns the treatment of paper with an alkoxide solution for water repellent and oil resistance properties, which leads to new products for disposable tableware or cooking ware for microwave oven use.  相似文献   

9.
Total reflection X-ray fluorescence (TXRF) is essential for 300-mm silicon wafer production and fabrication of semiconductor devices. The 300-mm TXRF enables non-destructive contamination analysis on wafers for process development and process control. The TXRF system shows a very stable continuous operation, which allows accurate trace and ultra trace analysis on the silicon surface. It is equipped with two excitation sources covering the requirements of very sensitive measurement and wide element range. The TXRF is a key technology for contamination control during wafer reclaim. For this purpose we show that the system is able to examine the wafers during different processing states of reclaim. The system sensitivity is influenced by the surface of the wafer. For important processing steps, e.g. double side polishing, the sensitivity is as good as for measurements on hazefree polished wafers. We show with TXRF that cross-contamination with copper during double side polishing is suppressed.  相似文献   

10.
This study describes particle adhesion experiments carried out to elucidate interactions between particles in slurries used for polishing of wafers and disks. For this purpose the packed column technique was employed, which simulated chemical mechanical polishing of copper with silica and alumina, as well as of silicic oxide with ceria. The model systems consisted of uniform copper and glass beads as collectors, representing the wafers, and colloidal dispersions of silica, alumia, and silica coated with nanosize ceria, all of well-defined properties that are used as abrasives. It was shown that a strong correlation exists between deposition and detachment results of the adhesion studies and the polish rates measured using actual substrates with the same or similar slurries.  相似文献   

11.
Research advances in electropolishing, with respect to the field of metalworking, have afforded significant improvements in the surface roughness and conductivity properties of aluminum polished surfaces in ways that machine polishing and simple chemical polishing cannot. The effects of a deep eutectic medium as an acid-free electrolyte were tested to determine the potential energy thresholds during electropolishing treatments based upon temperature, experiment duration, current, and voltage. Using voltammetry and chronoamperometry tests during electropolishing to supplement representative recordings via atomic force microscopy (AFM), surface morphology comparisons were performed regarding the electropolishing efficiency of phosphoric acid and acid-free ionic liquid treatments for aluminum. This eco-friendly solution produced polished surfaces superior to those surfaces treated with industry standard acid electrochemistry treatments of 1 M phosphoric acid. The roughness average of the as-received sample became 6.11 times smoother, improving from 159 nm to 26 nm when electropolished with the deep eutectic solvent. This result was accompanied by a mass loss of 0.039 g and a 7.2 µm change in step height along the edge of the electropolishing interface, whereas the acid treatment resulted in a slight improvement in surface roughness, becoming 1.63 times smoother with an average post-electropolishing roughness of 97.7 nm, yielding a mass loss of 0.0458 g and a step height of 8.1 µm.  相似文献   

12.
Colloid aspects of chemical-mechanical planarization   总被引:1,自引:0,他引:1  
The essential parts of interconnects for silicon based logic and memory devices consist of metal wiring (e.g. copper), a barrier metal (Ta, TaN), and of insulation (SiO2, low-k polymer). The deposition of the conducting metal cannot be confined to trenches, resulting in additional coverage of Cu and Ta/TaN on the surface of the dielectrics, yielding an electrically conducting continuous but an uneven surface. The surplus metal must be removed until a perfectly flat surface consisting of electrically isolated metal lines is achieved with no imperfections. This task is accomplished by the chemical-mechanical planarization (CMP) process, in which the wafer is polished with a slurry containing abrasives of finely dispersed particles in submicrometer to nanometer size. The slurries also contain dissolved chemicals to modify the surfaces to be planarized. Eventually the final product must be cleared of any adhered particles and debris left after polishing is completed. Obviously the entire process deals with materials and interactions which are the focal subjects of colloid and surface science, such as the natures of abrasive particles and their stability in the slurry, the properties of various surfaces and their modifications, adhesion and detachment of the particles and different methods for the characterization of constituents, as well as elucidation of the relevant interfacial phenomena. This review endeavors to describe the colloid approach to optimize the materials and processes in order to achieve desirable polish rates and final surfaces with no imperfections. Specifically, the effects of the composition, size, shape, and charge of abrasive particles on the polish process and the quality of planarized wafers is described in detail. Furthermore, the interactions of metal surfaces with oxidizing, chelating, and other species which affect the dissolution and surface modification of metal (copper) surfaces are illustrated and related to the planarization process. Finally, using the packed column technique the adhesion phenomena of abrasives on metals and oxides is evaluated on suitable model systems, that contain the same additives in the slurries as in the actual planarization process. A close correlation is established in all cases between the attachment and detachment results with experimentally determined polish rates.  相似文献   

13.
The preparation of stable colloidal slurries is often difficult in industries where many chemical components are added into the slurries. A critically acclaimed example of such an industry is the chemical mechanical polishing (CMP) industry which involves polishing slurries with several chemical additives. In the present work, the stabilization of a slurry used for CMP of metals is investigated in detail. This high ionic strength slurry has been stabilized using an optimaJ combination of sodium dodecyl sulfate (anionic surfactant) and Tween 80 (nonionic surfactant). The amount of surfactant needed to impart stability has been investigated in this study for two different sizes of abrasive particles. It has been found that the amount of surfactant needed to stabilize the slurry increases as the total surface area per gram of panicles increases. Slurry stabilization has been correlated with particle size measurements. It has been found that the average panicle size of the slurry decreases as the stability of the slurry increases. Stable slurries have been found to have particle sizes close to those of the particles before agglomeration. It is proposed that the stabilized CMP slurries can lead to reduced defects in wafers by preventing agglomeration of panicles.  相似文献   

14.
The effect of roughness on the dewetting behavior of polyethylene thin films on silicon dioxide substrates is presented. Smooth and rough silicon dioxide substrates of 0.3 and 3.2-3.9 nm root-mean-square roughness were prepared by thermal oxidation of silicon wafers and plasma-enhanced chemical vapor deposition on silicon wafers, respectively. Polymer thin films of approximately 80 nm thickness were deposited by spin-coating on these substrates. Subsequent dewetting and crystallization of the polyethylene were observed by hot-stage optical microscopy in reflection mode. During heating, the polymer films melt and dewet on both substrates. Further observations after cooling indicate that, whereas complete dewetting occurs on the smooth substrate surface, partial dewetting occurs for the polymer film on the rough surface. The average thickness of the residual film on the rough surface was determined by ellipsometry to be a few nanometers, and the spatial distribution of the polymer in the cavities of the rough surface could be obtained by X-ray reflectometry. The residual film originates from the impregnation of the porous surface by the polymer fluid, leading to the observed partial dewetting behavior. This new type of partial dewetting should have important practical consequences, as most real surfaces exhibit significant roughness.  相似文献   

15.
Polycrystalline chemical vapor deposition (CVD) diamonds films grown on silicon substrates using the microwave-enhanced CVD technique were polished using the thermochemical polishing method. The surface morphology of the samples was determined by optical and scanning electron microscopes before and after polishing. The average surface roughness of the as-grown films determined by the stylus profilometer yielded 25 μm on the growth side and about 7 μm on the substrate side. These figures were almost uniform for all the samples investigated. Atom force microscopic measurements performed on the surface to determine the average surface roughness showed that thermochemical polishing at temperatures between 700 °C and 900 °C reduced the roughness to about 2.2 nm on both the substrate and growth sides of the films. Measurements done at intermittent stages of polishing using confocal micro-Raman spectroscopy showed that thermochemical polishing is accompanied by the establishment of non-diamond carbon phases at 1353 cm−1 and 1453 cm−1 at the initial stage of polishing and 1580 cm−1 at the intermediate stage of polishing. The non-diamond phases vanish after final fine polishing at moderate temperatures and pressures. Photoluminescence of defect centers determined by an Ar+ laser (λlexct= 514.532 nm) showed that nitrogen-related centers with two zero-phonon lines at 2.156 eV and 1.945 eV and a silicon-related center with a zero-phonon line at 1.681 eV are the only detectable defects in the samples. Received: 26 July 1999 / Accepted: 15 November 1999  相似文献   

16.
The collection efficiency of metallic contaminants on four different types of silicon wafers was investigated. P, p+, n and n(+)-type polished silicon wafers were used for the substrate, and 14 metallic elements (Na, Mg, Al, K, Ca, Cr, Fe, Mn, Co, Ni, Cu, Zn, Mo and Ti) were contaminated on silicon wafer surface. Vapor-phase decomposition-droplet collection (VPD-DC) was employed as the sample preparation procedure. For the collecting solution, HNO3, HF and a mixture of HF and H2O2 were used, respectively. A liquid droplet collecting metallic contaminants during VPD-DC was analyzed by inductively coupled plasma-mass spectrometry (ICP-MS). As a result, it was found that HNO3 and HF were not suitable for collecting Cu. Copper was not collected completely in HNO3 and HF. A mixture of HF and H2O2 is the most effective to collect all of the tested metallic elements, regardless of the dopant concentration and type of substrate.  相似文献   

17.
本文利用改进的垂直无籽晶气相升华法生长出尺寸达Φ30×40 mm的优质硒化镉(CdSe)单晶体。解理晶体,通过X射线衍射仪测试精确的获得(001)晶面。然后定向切割、研磨、抛光,获得了尺寸为20×20×3mm~3的CdSe中红外波片初胚。以弱碱性溶液与刚玉粉的混合液作为抛光液,利用化学机械抛光法对CdSe中红外波片进行表面抛光处理。结果显示,抛光处理有效的减少了波片表面的损伤层、划痕及结构缺陷,晶片表面的粗糙度降低,在2~20μm波段透过率较高(达到70%),满足中红外波片的应用需求。  相似文献   

18.
The influence of surface structure of technical materials on results and statements of surface analytical methods has been investigated. Especially surface roughness as a typical property of rolled products has been observed. For this purpose samples of steel (technical surface, roughness up to 5 m) and silicon wafers (polished surface) have been analyzed by SNMS and GDOS in order to get information about changes of the surface roughness as function of the sputtering time and their influence on the statements about the depth profiles obtained.  相似文献   

19.
程璇  林昌健 《电化学》2001,7(2):189-194
化学机械抛光 (CMP)技术是同时利用化学和机械作用来获得固体表面亚微米尺度上平整性非常有效的方法 ,从 90年代初期起已成为制备高质量镜头和镜面及集成电路制造过程中硅片表面预处理工艺中最常用的技术之一 .钨的化学机械抛光是用钨坯获得硅片球面平整度的重要工艺 .其过程实际上是先将钨沉积到硅上已有的薄粘附层 -氮化钛上 ,然后进行化学机械抛光 .当抛光阶段接近终了时 ,氮化钛和钨表面将同时暴露在化学抛光液中形成电偶对 ,并在界面上发生腐蚀行为 ,从而影响硅片的球面平整度 ,降低半导体器件的性能与可靠性 .本文通过采用电化学直流极化技术 ,分别获得钨与氮化钛在 0 .0 1mol/LKNO3溶液中或含有三种典型的研磨剂 (H2 O2 ,KIO3,Fe(NO3) 3)溶液中的极化曲线 ,同时设计了一种特殊的电解槽以测量钨和氮化钛之间相互作用的电流 ,初步研究了 patterned硅片上钨和氮化钛界面形成电偶对时的腐蚀行为 .根据所测的钨和氮化钛电位可知 ,当钨和氮化钛表面同时暴露在抛光液中时将形成电偶对 ,氮化钛成为阴极 ,钨为阳极 ,并于界面发生电化学反应 ,表面的不均匀腐蚀将造成硅片平整度的降低 .结果表明 ,当溶液中含有H2 O2 时钨和氮化钛界面的腐蚀速度最大 ,而当溶液中含有Fe(NO3) 3时的钨和氮化钛界面则几乎不发  相似文献   

20.
A–C:H (hydrogenated amorphous carbon) films were deposited by pulsed direct‐current (d.c.) plasma enhanced chemical vapor deposition on silicon substrates. This study investigated the structural and mechanical evolution of the as‐deposited films with fullerene‐like nanostructure. The results showed that pulsed d.c. negative bias (?500 ~ ?1000 V) signally influenced the growth rate, hardness, surface roughness, sp3 content, and friction behavior of the films. As the pulsed d.c. negative bias voltage increased, the sp3 content, surface roughness, hydrogen content and the friction coefficient of the films decreased; however, the growth rate and the hardness increased. The films deposited at ?1000 V with fullerene‐like microstructure display a nanohardness of about 19.7 GPa and the smallest friction coefficient (~0.06). The evolution on mechanical and structural properties of the films are explained by the a–C:H growth mechanism based on the interaction on plasma‐surface interface and the subsurface reactions in the film. Copyright © 2014 John Wiley & Sons, Ltd.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号