首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Hafnium oxynitride (HfOxNy) gate dielectric has been deposited on Si (1 0 0) by means of radio frequency (rf) reactive sputtering using directly a HfO2 target in N2/Ar ambient. The thermal stability and microstructural characteristics for the HfOxNy films have been investigated. XPS results confirmed that nitrogen was successfully incorporated into the HfO2 films. XRD analyses showed that the HfOxNy films remain amorphous after 800 °C annealing in N2 ambient. Meanwhile the HfOxNy films can also effectively suppress oxygen diffusion during high temperature annealing and prevent interface layer from forming between HfOxNy films and Si substrates. AFM measurements demonstrated that surface roughness of the HfOxNy films increase slightly as compared to those pure HfO2 films after post deposition annealing. By virtue of building reasonable model structure, the optical properties of the HfOxNy films have been discussed in detail.  相似文献   

2.
The remote plasma nitridation (RPN) of an HfO2 film using N2 and NH3 has been investigated comparatively. X-ray photoelectron spectroscopy and Auger electron spectroscopy analyses after post-deposition annealing (PDA) at 700 °C show that a large amount of nitrogen is present in the bulk film as well as in the interfacial layer for the HfO2 film nitrided with NH3-RPN. It is also shown that the interfacial layer formed during RPN and PDA is a nitrogen-rich Hf-silicate. The C-V characteristics of an HfOxNy gate dielectric nitrided with NH3-RPN have a smaller equivalent oxide thickness than that nitrided with N2-RPN in spite of its thicker interfacial layer.  相似文献   

3.
It is shown that film exfoliation in a PZT(400 nm)/Ir(50 nm)/TiO2(10 nm)/Ti(10 nm)/SiO2/Si system upon annealing in air at 650°C for 20 min occurs at the PZT/Ir interface along a thin intermediate oxide layer (Pb, Ir)O x containing a significant amount of lead as compared to PZT. This layer is not continuous and exists below the PZT film at sites where the flaking does not occur. The thickness of the intermediate layer estimated by the time of ion sputtering is about 20 nm. This value and the layer composition do not change with increasing annealing time.  相似文献   

4.
We examined the thermal stability of amorphous silicon oxycarbide (SiOC) and crystalline Fe composite by in situ and ex situ annealing. The Fe/SiOC multilayer thin films were grown via magnetron sputtering with controlled length scales on a surface-oxidized Si (100) substrate. These Fe/SiOC multilayers were in situ or ex situ annealed at temperature of 600 °C or lower. The thin multilayer sample (~10 nm) was observed to have a layer breakdown after 600 °C annealing. Diffusion starts from low groove angle triple junctions in Fe layers. In contrast, the thick multilayer structure (~70 nm) was found to be stable and an intermixed layer (FexSiyOz) was observed after 600 °C annealing. The thickness of the intermixed layer does not vary as annealing time goes up. The results suggest that the FexSiyOz layer can impede further Fe, Si and O diffusion, and assists in maintaining morphological stability.  相似文献   

5.
To meet challenges for a smaller transistor feature size, ultra-thin HfO2 high-k dielectric has been used to replace SiO2 for the gate dielectric. In order to accurately analyze the ultra-thin HfO2 films by grazing incidence X-ray reflectivity (GIXRR), an appropriate material model with a proper layer structure is required. However, the accurate model is difficult to obtain, since the interfaces between layers of the ultra-thin HfO2 films are not easily identified, especially when post-deposition annealing process is applied. In this paper, 3.0 nm HfO2 films were prepared by atomic layer deposition on p-type silicon wafer, and annealed in Ar environment with temperatures up to 1000 °C. The layer structures and the role of the interfacial layer of the films in the post-deposition annealing processes were evaluated by X-ray diffraction and X-ray photoelectron spectroscopy (XPS). The experimental results and analysis showed that layer thicknesses, crystal phases and chemical structures of the ultra-thin HfO2 films were significantly dependent on annealing temperatures. The binding energy shifts of Hf 4f, O 1s, and Si 2p elements revealed the formation of Hf silicate (Hf-O-Si bonding) with increasing annealing temperatures. Due to the silicate formation and increasing silicon oxide formation, the interface broadening is highly expected. The structure analysis of the GIXRR spectra using the modified material structure model from the XPS analysis confirmed the interfacial broadening induced by the post-deposition annealing.  相似文献   

6.
The general equation Tove = L cos  θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.  相似文献   

7.
The interfacial structures of HfO2 and HfAlO thin films on Si have been investigated using spatially resolved electron energy-loss spectroscopy. We have found that interfaces are not atomically sharp, and variation in the symmetry of the local atomic coordination lasts for a couple of monolayers for both the as-deposited HfO2 and the HfAlO samples. Annealing of the HfO2 film in the oxygen environment leads to the formation of a thick SiO2/SiOx stack layer in-between the original HfO2 and the Si substrate. As a comparison, the interfacial stability is significantly improved by Al incorporation into the HfO2 film (forming HfAlO), which effectively reduced/eliminated the interfacial silicon oxide formation during the oxygen annealing process. The mechanism of the high-k film/substrate stabilization by Al incorporation is discussed based on the experimental results.  相似文献   

8.
The physical and chemical properties of the HfO2/SiO2/Si stack have been analyzed using cross-section HR TEM, XPS, IR-spectroscopy and ellipsometry. HfO2 films were deposited by the MO CVD method using as precursors the tetrakis 2,2,6,6 tetramethyl-3,5 heptanedionate hafnium—Hf(dpm)4 and dicyclopentadienil-hafnium-bis-diethylamide—Сp2Hf(N(C2H5)2)2.The amorphous interface layer (IL) between HfO2 and silicon native oxide has been observed by the HRTEM method. The interface layer comprises hafnium silicate with a smooth varying of chemical composition through the IL thickness. The interface layer formation occurs both during HfO2 synthesis, and at the annealing of the HfO2/SiO2/Si stack. It was concluded from the XPS, and the IR-spectroscopy that the hafnium silicate formation occurs via a solid-state reaction at the HfO2/SiO2 interface, and its chemical structure depends on the thickness of the SiO2 underlayer.  相似文献   

9.
An investigation is conducted into the enhanced lithium electrochromic performance of flexible tungsten/molybdenum-mixed oxide (WMo x O y C z ) films deposited onto 40 Ω/□ flexible polyethylene terephthalate/indium tin oxide substrates, using a low temperature (~23 °C) atmospheric pressure plasma-enhanced chemical vapor deposition with an atmospheric pressure plasma jet at various substrate distances. The rapid synthesis of flexible WMo x O y C z films is performed by injecting the mixed hexacarbonyl and precursors W(CO)6 and Mo(CO)6 into an air plasma jet and exposing the substrate in the plasmas for short exposure durations (19–34 s) at various substrate distances. The flexible WMo x O y C z films possess the remarkable Li+ ion electrochromic performance, even though after being bent 360° around a 2.5-cm diameter rod for 1,000 cycles and tested for 200 cycles of reversible Li+ ion intercalation and deintercalation in a 1-M LiClO4-propylene carbonate electrolyte, respectively, by a potential sweep switching at the scan rates of ±50 mV/s from the potential of ?1 to 1 V and a potential step switching at the potentials of ?1 and 1 V. Significant optical modulation and optical density change of up to 71.2 % and 0.73 at a wavelength of 622 nm are respectively achieved.  相似文献   

10.
Annealing-temperature dependence of the thermal stability and chemical bonding states of AlOxNy/SiO2/Si gate stacks grown by metalorganic chemical vapor deposition (MOCVD) using new chemistry was investigated by synchrotron radiation photoemission spectroscopy (SRPES). Results have confirmed the formation of the AlN and AlNO compounds in the as-deposited samples. Annealing the AlOxNy samples in N2 ambient in 600-800 °C promotes the formation of SiO2 component. Meanwhile, there is no formation of Al-O-Si and Al-Si binding states, suggesting no interdiffusion of Al with the Si substrate. A thermally induced reaction between Si and AlOxNy to form volatile SiO and Al2O is suggested to be responsible for the full disappearance of the Al component that accompanies annealing at annealing temperature of 1000 °C. The released N due to the breakage of the Al-N bonding will react with the SiO2 interfacial layer and lead to the formation of the Si3-N-O/Si2-N-O components at the top of Si substrate. These results indicate high temperature processing induced evolution of the interfacial chemistry and application range of AlOxNy/Si gate stacks in future CMOS devices.  相似文献   

11.
The effects of HfOxNy on the electrical property of HfOxNy-HfO2-HfOxNy sandwich-stack (signed as SS) films were investigated. Excellent electrical performances were achieved in SS films, with a high dielectric constant of 16 and a low leakage current of ∼2 × 10−8 A/cm2 at 1 MV/cm. Schottky (SK) emission and Frenkel-Poole (PF) emission are found to be the dominant mechanisms for the current conduction behavior. After a long time stress, the flat-band voltage shift in the SS film is much smaller than that in a pure HfOxNy film indicating fewer charge traps existed in the SS film. Based on the experiments, the new SS structure is more favorable for the improvement of electrical performances than a pure HfOxNy or HfO2 structure.  相似文献   

12.
High-k HfOxNy thin films with different nitrogen-incorporation content have been fabricated on Si (1 0 0) substrate by means of radio-frequency reactive sputtering method. Analyses from X-ray diffraction (XRD) and atomic force microscopic have indicated that the increase of the crystallization temperature of HfO2 thin films and the decrease of the roughness root-mean-square value of HfO2 thin films due to the incorporation of nitrogen. Based on a parameterized Tauc-Lorentz (TL) dispersion model, the optical properties of the HfOxNy thin films related to different nitrogen-incorporation content are systematically investigated by spectroscopic ellipsometer. Increase in the refractive index and the extinction coefficient and reduction in band gap with increase of nitrogen-incorporation content are discussed in detail.  相似文献   

13.
(Au, Pt)/HfO2/SiO2/n-Si(001) metal-oxide-semiconductor structures with a thin (≈0.5 nm) SiO2 layer, which is formed between HfO2 and Si during atomic layer deposition of oxide layers, have been investigated via ballistic electron emission spectroscopy. The potential barrier heights at the (Au, Pt)/HfO2 interfaces have been determined experimentally. The peculiarities observed in the curves of dependence of the collector current on the voltage between a scanning tunneling microscope probe and a metallic electrode are related to electron transport through the vacancy defect region of HfO2 and the quantum-mechanical interference of electron waves arising from multiple reflections at the interfaces of the two-layer dielectric and at the interfaces of dielectric with a substrate and a metallic electrode.  相似文献   

14.
Sulfur was embedded in atomic‐layer‐deposited (ALD) HfO2 films grown on Ge substrate by annealing under H2S gas before and after HfO2 ALD. The chemical states of sulfur in the film were examined by S K‐edge X‐ray absorption spectroscopy. It was revealed that the valences of S‐ions were mostly –2 at Ge/HfO2 interface (GeSx or HfO2–ySy to passivate the interface), while they were mostly +6 in HfO2 layers (sulfates; HfO2–z(SO4)z). The leakage current density in post‐deposi‐tion‐treated film was lower than that in pre‐deposition‐treated one. This suggests that the passivation of defects in oxide layer by sulfate ions is more effective to lower the leakage current rather than the interface defect passivation by S2– ions. (© 2015 WILEY‐VCH Verlag GmbH &Co. KGaA, Weinheim)  相似文献   

15.
Chemical reactivity of fluorine molecule (F2)-germanium (Ge) surface and dissociation of fluorine (F)-Ge bonding have been simulated by semi-empirical molecular orbital method theoretically, which shows that F on Ge surface is more stable compared to hydrogen. Ge MIS (metal insulator semiconductor) capacitor has been fabricated by using F2-treated Ge(1 0 0) substrate and HfO2 film deposited by photo-assisted MOCVD. Interface state density observed as a hump in the C-V curve of HfO2/Ge gate stack and its C-V hysteresis were decreased by F2-treatment of Ge surface. XPS (X-ray photoelectron spectroscopy) depth profiling reveals that interfacial layer between HfO2 and Ge is sub-oxide layer (GeOx or HfGeOx), which is believed to be origin of interface state density.F was incorporated into interfacial layer easily by using F2-treated Ge substrate. These results suggest that interface defect of HfO2/Ge gate stack structure could be passivated by F effectively.  相似文献   

16.
Si nanocrystals (NCs) embedded in an SiC matrix were prepared by the deposition of Si-rich Si1?xCx/SiC nanomultilayer films using magnetron sputtering, subsequently followed by thermal annealing in the range of 800~1200 °C. As the annealing temperature increases to 1000 °C, Si NCs begin to form and SiC NCs also start to emerge at the annealing temperature of 1200 °C. With the increase of annealing temperature, two photoluminescence (PL) peaks have an obvious redshift. The intensity of the low-energy PL peak around 669~742 nm gradually lowers, however the intensity of high-energy PL peak around 601~632 nm enhances. The low-energy PL peak might attribute to dangling bonds in amorphous Si (a-Si) sublayers, and the redshift of this peak might be related to the passivation of Si dangling bonds. Whereas the origin of the high-energy PL peak may be the emergence of Si NCs, the redshift of this peak correlates with the change in the size of Si NCs.  相似文献   

17.
MgO-based magnetic tunnel junctions (MTJs) with a layer sequence Ir22Mn78 or Fe50Mn50 (10 nm)/CoFe (2 nm)/Ru (0.85 nm)/CoFeB (0.5?t<2 nm)/MgO (2.5 nm)/CoFeB (3 nm) have been fabricated. The bias voltage dependence of tunneling magnetoresistance (TMR) is given as a function of the annealing temperature for these MTJs, which shows the TMR ratio changes its sign from inverted to normal at a critical bias voltage (VC) when an unbalanced synthetic antiferromagnetic stack CoFe/Ru/CoFeB is used. VCs change with the thickness of the pinned CoFeB and annealing temperature, which implies one can achieve different VCs by artificial control. The asymmetric VC values suggest that a strong density-of-states modification occurs at bottom oxide/ferromagnet interface.  相似文献   

18.
We have applied the spectroscopic photoemission and low energy electron microscope to study high-k gate dielectrics and have performed the following in situ operations during ultrahigh vacuum annealing: real-time observation of surface morphology and microregion photoelectron spectroscopy measurements. Changes in surface morphology and electronic states were consistent with the models previously reported in the case of HfO2/Si. No clear differences between void regions and nonvoid regions have been observed in microregion photoelectron spectra for poly-Si/HfO2/Si, regardless of phase separation in real space. These results have suggested that the initial void formation occurs in about 100-nm wide regions for both HfO2/Si and poly-Si/HfO2/Si.  相似文献   

19.
赵理  刘东洋  刘东梅  陈平  赵毅  刘式墉 《物理学报》2012,61(8):88802-088802
通过采用4,4′,4″-三(N-3-甲基苯基-N-苯基氨基)三苯胺 (m-MTDATA)掺入MoOx作为器件的空穴传输层来提高酞菁铜(CuPc)/C60小分子 有机太阳电池的效率. 采用真空蒸镀的方法制备了一系列器件, 其中结构为铟锡氧化物 (ITO)/m-MTDATA:MoOx(3:1)(30 nm)/CuPc(20 nm)/C60(40 nm)/4,7-二苯 基-1,10-菲罗啉 (Bphen)(8 nm)/LiF(0.8 nm)/Al(100 nm)的器件, 在AM1.5 (100 mW/cm2)模拟太阳光的照射条件下, 开路电压Voc=0.40 V, 短路电流Jsc=6.59 mA/cm2, 填充因子为0.55, 光电转换效率达1.46%, 比没有空穴传输层的器件ITO/CuPc(20 nm)/C60(40 nm)/Bphen(8 nm)/LiF(0.8 nm)/Al(100 nm) 光电转换效率提高了38%. 研究表明, 加入m-MTDATA:MoOx(3:1)(30 nm)空穴传输层减小了有机层和ITO电极之间的接触电阻, 从而减小了整个器件的串联电阻, 提高了器件的光电转换效率.  相似文献   

20.
We report the synthesis of pyrene derivatives as the light emissive layer for highly efficient organic electroluminescence (EL) diodes. Multilayer devices were fabricated with pyrene derivatives (ITO/NPB (50 nm)/blue material (30 nm)/BCP (10 nm)/Alq3 (30 nm)/LiF (1 nm)/Al). By using 1,1′-dipyrene (DP) and 1,4-dipyrenyl benzene (DPB), the devices produced the blue EL emissions with 1931 Commission International de L’Eclairage coordinates of (x=0.21, y=0.35) and (x=0.19, y=0.25), respectively. The device with DPB shows a maximum brightness of 42,445 cd/m2 at 400 mA/cm2 and the luminance efficiency of 8.57 cd/A and 5.18 lm/W at 20 mA/cm2.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号