首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
ICP power/RF power, operating pressure, and Cl2/BCl3 gas mixing ratio are altered to investigate the effect of input process parameters on the etch characteristics of GaN films. The etch selectivity of GaN over SiO2 and photoresist is studied. Although higher ICP/RF power can obtain higher GaN/photoresist etch selectivity, it can result in faceting of sidewall and weird sidewall profile due to photoresist mask erosion. Etch rates of GaN and SiO2 decrease with the increase of operating pressure, and etch selectivity of GaN over SiO2 increases with the increasing operating pressure at fixed ICP/RF power and mixture component. The highest etch selectivity of GaN over SiO2 is 7.92, and an almost vertical etch profile having an etch rate of GaN close to 845.3 nm/min can be achieved. The surface morphology and root-mean-square roughness of the etched GaN under different etching conditions are evaluated by atomic force microscopy. The plasma-induced damage of GaN is analyzed using photoluminescence (PL) measurements. The optimized etching process, used for mesa formation during the LED fabrication, is presented. The periodic pattern can be transferred into GaN using a combination of Cl2/BCl3 plasma chemistry and hard mask SiO2. Patterning of the sapphire substrate for fabricating LED with improved extraction efficiency is also possible using the same plasma chemistry.  相似文献   

2.
MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc2O3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH4/H2/Ar produced etch rates only in the range 20-70 Å/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 Å/min) were obtained with Cl2/Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH4/H2/Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN.  相似文献   

3.
Inductively coupled plasma (ICP) etching of GaN is systemically investigated by changing ICP power/RF bias power, operating pressure, and Cl2/BCl3 gas mixing ratio. The hexagonal etch pits related to screw dislocation existing along GaN epitaxial layer were observed on the etched GaN surface after ICP etching. The intensity of band-edge emission is significantly reduced from the etched n-GaN surface, which reveals that plasma-induced damage are generated after ICP etching. The oblique sidewall is transferred into GaN using a combination of Cl2/BCl3 plasma chemistry and hard mask SiO2. By adjusting ICP etching process parameters, oblique sidewalls with various oblique angles can be formed, allowing for conformal metal lines coverage across the mesa structures, which can play an important role in the interconnection of multiple microchips for light emitting diodes (LEDs) fabrication.  相似文献   

4.
《Applied Surface Science》2001,169(1-2):27-33
Several different plasma chemistries were investigated for dry etching of TiO2 thin films. Fluorine-based discharges produced the fastest etch rates (∼2000 Å min−1) and selectivities >1 for Si over TiO2. Chlorine-based discharges also showed a chemical enhancement over pure Ar sputtering and had selectivities <1 for Si over TiO2 for a range of plasma conditions. Methane–hydrogen discharges produced very slow etch rates, below those obtained with Ar sputtering. The etched surface morphologies of TiO2 were excellent in all three types of plasma chemistry. Small concentrations (2 at.%) of chlorine- or fluorine-containing residues were identified on the TiO2 surface after Cl2/Ar or SF6/Ar etching, but these residues were water soluble.  相似文献   

5.
The dry etching characteristics of transparent and conductive indium-zinc oxide (IZO) films have been investigated using an inductively coupled high-density plasma. While the Cl2-based plasma mixture showed little enhancement over physical sputtering in a pure argon atmosphere, the CH4/H2/Ar chemistry produced an increase of the IZO etch rate. On the other hand, the surface morphology of IZO films after etching in Ar and Ar/Cl2 discharges is smooth, whereas that after etching in CH4/H2/Ar presents particle-like features resulting from the preferential desorption of In- and O-containing products. Etching in CH4/H2/Ar also produces formation of a Zn-rich surface layer, whose thickness (∼40 nm) is well-above the expected range of incident ions in the material (∼1 nm). Such alteration of the IZO layer after etching in CH4/H2/Ar plasmas is expected to have a significant impact on the transparent electrode properties in optoelectronic device fabrication.  相似文献   

6.
《Applied Surface Science》2001,169(1-2):52-59
Wet chemical and plasma etch processes were developed for pattering of Sc2O3 films on GaN. Chlorine-based plasma chemistries produced a significant chemical enhancement of removal rate over pure Ar sputtering. The etching was anisotropic and did not significantly alter the surface composition of the Sc2O3 films. Reaction-limited wet etching in the HNO3/HCl/HF system was investigated as a function of solution formulation and temperature. The activation energy for the wet etching ranged from 8 to 14 kcal/mol and the etch rates were independent of solution agitation.  相似文献   

7.
The dry etching characteristics of bulk, single-crystal zinc-oxide (ZnO) and rf-sputtered indium-zinc-oxide (IZO) films have been investigated using an inductively coupled high-density plasma with different plasma chemistries. The introduction of interhalogens such as ICl, IBr, BI3, and BBr3 to the Ar plasma produced no enhancement of the ZnO and IZO etch rates with respect to physical sputtering in a pure argon atmosphere under the same experimental conditions. In these plasma chemistries, the etch rate of both materials increased with source power and ion energy, indicating that ion bombardment plays an important role in enhancing desorption of etch products. Except in Ar/CH4/H2 discharges, the ZnO etch rate was very similar to that of IZO, which indicates that zinc and indium atoms are driven by a similar plasma etching dynamic. CH4/H2-containing plasmas produced higher etch rates for IZO than for ZnO due to the preferential desorption of the group III etch products. Application of the CH4/H2/Ar plasma to the etching of deep features in bulk, single-crystal ZnO produced highly anisotropic profiles although some trenches were observed near the sidewalls.  相似文献   

8.
Xenon chloride (308 nm) excimer laser-assisted etching of GaAs (100) in Cl2 was demonstrated and characterized with respect to laser and gas parameters. The etch rate increased linearly with laser fluence from thresholds in the range of 50 to 75 mJ/cm2 to the highest fluence studied, 650 mJ/cm2. For a laser fluence of 370 mJ/cm2, the etch rate varied with Cl2 pressure reaching a maximum at a Cl2 pressure of about 2 Torr. The etch rate decreased monotonically with Ar buffer gas pressure because of redeposition of GaCl3 products into the etched channel. The redeposited GaCl3 affected the etch rate and the etch morphology. The etch rate and morphology also varied with laser repetition rate. The mobility of chlorine on the surface also plays an important role in the etching mechanism.  相似文献   

9.
Mechanical grinding, chemical mechanical polishing (CMP) and dry etching process are integrated to remove sapphire substrate for fabricating thin-film light-emitting diodes. The thinning of sapphire substrate is done by fast mechanical grinding followed by CMP. The CMP can remove or reduce most of the scratches produced by mechanical grinding, recovering both the mechanical strength and wafer warpage to their original status and resulting in a smoother surface. The surface morphology and surface roughness on grinded and polished sapphire substrate are measured by using atomic force microscopy (AFM). The etch rates of sapphire by BCl3-based dry etching are reported. Pattern transfer to the physical and chemical stability of sapphire is made possible by inductively coupled plasma (ICP) etch system that generates high density plasma. The patterning of several microns period in sapphire wafer by using a combination of BCl3/Ar plasma chemistry and SiO2 mask is presented. The anisotropic etch profile formed on sapphire wafer is obtained from scanning electron microscopy (SEM) images.  相似文献   

10.
Highly conducting films of p-type CuCrO2 are attractive as hole-injectors in oxide-based light emitters. In this paper, we report on the development of dry etch patterning of CuCrO2 thin films. The only plasma chemistry that provided some chemical enhancement was Cl2/Ar under inductively coupled plasma conditions. Etch rates of ∼500 Å min−1 were obtained at chuck voltages around −300 V and moderate source powers. In all cases, the etched surface morphologies were improved relative to un-etched control samples due to the smoothing effect of the physical component of the etching. The threshold ion energy for the onset of etching was determined to be 34 eV. Very low concentrations (≤1 at.%) of residual chlorine were detected on the etched surfaces but could be removed by simple water rinsing.  相似文献   

11.
The dry etching characteristics of bulk single-crystal zinc-oxide (ZnO) and RF-sputtered indium-zinc-oxide (IZO) films have been investigated using an inductively coupled high-density plasma in Ar/IBr and Ar/BI3. In both plasma chemistries, the etch rate of ZnO is very similar to that of IZO, which indicates that zinc and indium atoms are driven by a similar plasma etching dynamics. IBr and BI3-based plasmas show no enhancement of the etch rate over pure physical sputtering under the same experimental conditions. The etched surface morphologies are smooth, independent of the discharge chemistry. From Auger electron spectroscopy, it is found that the near-surface stoichiometry is unchanged within experimental error, indicating a low degree of plasma-induced damage.  相似文献   

12.
《Current Applied Physics》2018,18(9):968-974
Pulse-modulated inductively coupled plasma reactive ion etching of nanometer-scale patterned CoFeB thin films was performed in CH4/O2/Ar gas mixture. As the pulse on-off duty ratio decreased, the etch selectivity of CoFeB/TiN slightly increased and the etch profiles were improved. Moreover, the etch selectivity of the CoFeB films and the etch profiles were improved with the increase in the pulse frequency of the plasma. X-ray photoelectron spectroscopy revealed that during the pulse-modulated etching in the CH4/O2/Ar gas mixture, some polymeric layers were formed on the CoFeB films, which helped prevent the lateral etching and increased the etch selectivity. Consequently, nanometer-scale etching of CoFeB thin films patterned with TiN hard masks could be achieved using pulsed-modulated plasma in CH4/O2/Ar gas mixture.  相似文献   

13.
Etching of amorphous Al2O3 and polycrystalline Y2O3 films has been investigated using an inductively coupled reactive ion etch system. The etch behaviour has been studied by applying various common process gases and combinations of these gases, including CF4/O2, BCl3, BCl3/HBr, Cl2, Cl2/Ar and Ar. The observed etch rates of Al2O3 films were much higher than Y2O3 for all process gases except for Ar, indicating a much stronger chemical etching component for the Al2O3 layers. Based on analysis of the film etch rates and an investigation of the selectivity and patterning feasibility of possible mask materials, optimized optical channel-waveguide structures were fabricated in both materials. In Al2O3, channel waveguides were fabricated with BCl3/HBr plasma and using a standard resist mask, while in Y2O3, channel waveguides were fabricated with Ar and using either a resist or a sputter deposited Al2O3 mask layer. The etched structures in both materials exhibit straight sidewalls with minimal roughness and sufficient etch depths (up to 530 nm for Al2O3 and 250 nm for Y2O3) for defining waveguides with strong optical confinement. Using the developed etch processes, low additional optical propagation losses (on the order of 0.1 dB/cm) were demonstrated in single-mode ridge waveguides in both Al2O3 and Y2O3 layers at 1550 nm. PACS 42.70.-a; 42.82.-m; 42.82.Cr  相似文献   

14.
The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H2 mixtures and at constant bias voltage (−100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH4/H2 mixtures, the etch rate goes through a maximum for 10% CH4 indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH4/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH4 in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.  相似文献   

15.
We characterized the surface defects in a-plane GaN, grown onto r-plane sapphire using a defect-selective etching (DSE) method. The surface morphology of etching pits in a-plane GaN was investigated by using different combination ratios of H3PO4 and H2SO4 etching media. Different local etching rates between smooth and defect-related surfaces caused variation of the etch pits made by a 1:3 ratio of H3PO4/H2SO4 etching solution. Analysis results of surface morphology and composition after etching by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) demonstrated that wet chemical etching conditions could show the differences in surface morphology and chemical bonding on the a-plane GaN surface. The etch pits density (EPD) was determined as 3.1 × 108 cm−2 by atom force microscopy (AFM).  相似文献   

16.
KrF laser etching of GaAs in Cl2 and O3 gas ambients by direct laser illumination is reported. The etch depth per pulse in Cl2 was found to be linear versus the laser fluence on the sample in the 0.2–1.1 J/cm2 range. It increased as a function of the Cl2 pressure up to 6 Torr and slightly decreased for pressures above this value. It also decreased as a function of the laser repetition rate. Very smoothly etched surfaces were obtained after irradiation using the Cl2 and O3 etching gases. Auger analysis of the etched GaAs surfaces shows almost no traces of chlorine after etching in Cl2, whereas a thick oxide layer of about 1500 Å thickness was found after etching in ozone.  相似文献   

17.
The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH4/H2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30–150 and 50–300 W, respectively; gas pressure in the reactor was 3–10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching.A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.  相似文献   

18.
This report presents the results of the novel fabrication of 4H-SiC pillars with nanopores using ICP-RIE dry etching. Cl2/Ar gas plasma with various mass flow rates was used in this etching process to produce SiC nanopillars without using patterned etch mask. Cylindrical pillars of 300 nm diameter and 500 nm height with smooth side walls were etched on SiC wafer. The etching condition for the optimized fabrication of SiC nanopillars is presented in this report. Each nanopillar has been produced with a nanosize pore at the center along its length and up to the middle of the cylindrical nanopillar; it is a unique feature has not ever been reported in case of SiC. Inclusion of oxygen was found influence the formation of nanopillars by the effect of SiO2 micro masking. The formation of self assembled SiO2 layer and its micro masking effect in the fabrication of this unique nanostructure has been investigated using TEM, STEM and EDAX measurements.  相似文献   

19.
CH4/H2-based discharges are attractive for dry etching of single crystal ZnO because of their non-corrosive nature. We show that substitution of C2H6 for CH4 increases the ZnO etch rate by approximately a factor of 2 both with and without any inert gas additive. The C2H6/H2/Ar mixture provides a strong enhancement over pure Ar sputtering, in sharp contrast to the case of CH4/H2/Ar. The threshold ion energy for initiating etching is 42.4 eV for C2H6/H2/Ar and 59.8 eV for CH4/H2/Ar. The etched surface morphologies were smooth, independent of the chemistry and the Zn/O ratio in the near-surface region was unchanged within experimental error after etching with both chemistries. The plasma etching improved the band-edge photoluminescence intensity and suppressed the deep level emission from the bulk ZnO under our conditions, due possibly to removal of surface contamination layer.  相似文献   

20.
Fabrication and electrical characterisation of microscale air bridges consisting of GaN heavily doped with silicon is described. These were made from GaN–AlInN–GaN epitaxial trilayers on sapphire substrates, in which the AlInN was close to the composition lattice matched to GaN at ∼17% InN fraction. The start of the fabrication sequence used inductively coupled plasma etching with chlorine chemistry to define mesas. In situ monitoring by laser reflectometry indicated an AlInN vertical etch rate of 400 nm/minute, ∼70% of the etch rate of GaN. Processing was completed by lateral wet etching of the AlInN in hot nitric acid to leave GaN microbridges supported between anchor posts at both ends. Deposition of Ti–Au contact pads onto the anchor posts allowed study of the electrical characteristics. At low applied voltages, vertical conduction through the undoped AlInN layers was minimal in comparison with the current path through the Si:GaN bridges. Typical structures showed highly linear current-voltage characteristics at low applied voltages, and had resistances of 1050 Ω. The observed resistance values are compared with the predicted value based on materials parameters and an idealised geometry. The microbridges showed damage from Joule heating only at current densities above 2×105 A cm−2.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号