首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 281 毫秒
1.
Silane decomposition and silicon layer growth will be described by way of theory taking into account heterogeneous as well as homogeneous reaction mode and 1st as well as 0.5th order of the chemical reaction. Comparing axial layer growth rate distribution and total substrate surface area effect on the latter with respect to theoretical and experimental results (for the process conditions investigated), it will be shown that the deposition of undoped poly silicon is characterized by a heterogeneous reaction mode, whereas chemical reaction is of first reaction order in the temperature range above 973 K and of 0.5th reaction order below 973 K. The deposition kinetics of strongly in-situ phosphorus doped poly silicon is shown to be in agreement with a homogeneous reaction mode of 0.56th reaction order.  相似文献   

2.
A reaction mechanism will be suggested for interpreting Si1–xGex CVD layer deposition from a silicon source and germane reaction gas mixtures in order to explain the observed silicon layer growth increase in consequence of the presence of germane. A successive substitution of the hydrogen atoms available in germane molecules by silyl groups forming a silicon containing intermediate of germane will be assumed. It will further be supposed that both the original silicon source and the germane intermediates will independently be decomposed by chemical reactions leading to Si1–xGex CVD layer deposition from dichlorosilane-germane-hydrogen reaction gas mixtures at temperatures in the range of 600 ≦ T(°C) ≦ 900 as recently published by KAMINS and MEYER .  相似文献   

3.
To investigate the deposition of Ge films without toxic gas such as germane, we have studied the Ge films prepared by the hot-wire technique, which utilize the reaction between a Ge target and hydrogen atoms generated by the hot-wire decomposition of H2 gas. The films deposited on Si substrate were microcrystalline Ge films and the mean crystallite size of the films increased from 13.3 to 24.8 nm with increasing the substrate temperature from 300 to 500 °C. Moreover, the deposition rate of Ge films deposited on Si substrate was higher than that of Ge films deposited on Corning 1737 substrate. It was found that the substrate temperature and the kind of substrate are key parameters for the preparation of microcrystalline Ge films by the hot-wire technique.  相似文献   

4.
Epitaxial gallium phosphide layers have been grown on silicon substrates by the metal-organic process. This process involves the reaction between trimethylgallium (CH3)3Ga and PH3 and gives a high density of nucleation sites on the silicon. The influence of the substrate orientation and of the deposition temperature on the crystallinity of the layers has been studied. Best results were obtained on (001) oriented substrate at a deposition temperature of 800°C. X-ray reflection topographs of the layers have revealed the formation of cracks extending along the [110] direction, which are explained by the lattice mismatch and the difference in thermal expansion coefficients. The cracking is asymmetric with the main direction parallel to [110]. The density of cracks can be reduced by a two stage epitaxy. The electrical properties of undoped and n-type doped layers have been assessed by Hall and C(V) measurements. It shows auto-doping with silicon coming from the substrate.  相似文献   

5.
Highly in-situ phosphorus-doped LPCVD poly silicon deposition from mixtures consisting of silane and phosphine has been investigated for limited conditions regarding temperature, silane input, phosphine-silane ratio and total pressure. Agreeing with the deposition of undoped poly silicon, growth rate linearly decays along the axis of the wafer cage applied for in-situ doped poly silicon. In consequence layer growth should be controlled by a chemical reaction of 0.5th order. In contrast to undoped poly silicon the slope of axial growth rate decay increases with the distance between wafers increased. This behaviour is a proof for a homogneous chemical reaction mechanism. The silicon forming reaction is characterized by an activation energy of about 25 kcal/mole for PH3/SiH4 = 0.003.  相似文献   

6.
Cadmium sulfide polycrystalline thin films were grown on glass substrates by the chemical-bath deposition technique (CBD) under application of both a pulsating direct electric and a dc magnetic field acting on the Cd- and S-ions during growth in an aqueous solution which contains CdCl2 and thiourea as source material for the cadmium and sulfur components, respectively. The field orientation in each case of deposition was chosen perpendicular as well as parallel to the growing CdS-film interface. Two different values of the magnetic field strength were applied. Various properties of the films were studied, such as optical transmission and reflection, surface morphology, X-ray diffraction, and dark electrical resistivity, as a function of the applied field configurations, which reveal a clear effect of the field presence during growth.  相似文献   

7.
Synthesis of microcrystalline silicon (μc-Si) film at an ultrafast deposition rate over 100 nm/s is achieved from SiH4 + He by using a high density microwave plasma source even without employing H2 dilution and substrate heating techniques. Systematic deposition studies show that high SiH4 flow rate and working pressure increase film deposition rate while high He flow rate decreases the rate. On the other hand, crystallinity of deposited Si film decreases with increasing SiH4 or He flow rate and working pressure. Enhancements of gas phase and surface reactions during film deposition process are responsible for the achievement of high deposition rate and high film crystallinity.  相似文献   

8.
《Journal of Non》2007,353(44-46):4186-4190
We utilized the Tersoff–Brenner potential form potential to investigate SiF3 continuously bombarding silicon surface with energies of 10, 50 and 100 eV at normal incidence and room temperature by molecular dynamics method. The saturation of deposition yield of F and Si atoms on the surface is observed. A F-containing amorphous layer is formed whose thickness increases with incident energy. In the ejected gas-phase species, F, SiF and SiF2 species increases with increasing incident energy, while the amount of SiF3 species decreases.  相似文献   

9.
三氯氢硅和氢气系统中多晶硅化学气相沉积的数值模拟   总被引:1,自引:1,他引:0  
本文建立了三氯氢硅和氢气系统中混合气体动量、热量和质量同时传递,并且耦合气相反应、表面反应的多晶硅气相沉积模型,利用流体力学计算软件(Computational Fluid Mechanics, CFD)Fluent6.2数值分析了气体进口速率、反应压力、表面温度和气体组成对硅化学气相沉积特性的影响,数值结果表明计算结果与相关实验数据吻合较好.分析表明在一定的条件下,硅沉积速率随温度、压力的升高而增加,在氢气浓度较高的情况下,硅沉积速率随氢气浓度增加而线性地降低.  相似文献   

10.
The decomposition and dissolution processes taking place in these alloys during continuous heating interrupted at certain temperatures, cooled quickly to RT and heated again are followed by means of TEM, XSAS, resistivity, DTA, and DSC measurements. It could be stated that during these heat treatments the decomposition sequence GP zones η′ happened. The presence of X-phase could not be pointed out surely. The GP zones formed at lower temperatures are dissolved partially before the formation and growth of η′-particles takes place. Indications were found that the presence of η′-particles in the matrix makes the η′-precipitates instable in the neighbourhood of the η′-particles.  相似文献   

11.
Hydrogenated amorphous carbon films (a-C:H) were deposited on p-type silicon as well as silica substrates by pulsed laser deposition technique using a mixture of graphite and camphor powders. The Fourier transform infrared spectroscopy measurement revealed the presence of hydrogen in the a-C:H films. The optical properties and structure of the a-C:H film were investigated by UV-visible and Raman scattering spectroscopy. The formation of a heterojunction between the a-C:H film and silicon substrate was confirmed by the current-voltage (I-V) measurement. Furthermore, the structure of a-C:H/p-Si showed photovoltaic characteristics with an open-circuit voltage (Voc) of 0.4 V and short-circuit current density (Jsc) of about 15 mA/cm2 under AM1.5 (100 mW/cm2) illumination. From the calculation, the energy conversion efficiency and fill factor were found to be 2.1% and 0.38, respectively. The carbon layer contributed to the energy conversion efficiency, which was proved by the measurement of quantum efficiency.  相似文献   

12.
Poly silicon deposition by pyrolysis of silane under low pressure conditions has been investigated with respect to the influence of temperature when simultaneously in-situ doping of the deposited layer takes place. The growth rate of poly silicon is retarded in the presence of phosphine provided that a certain lower PH3/SiH4-ratio has been exceeded. It has been shown how that lower ratio depends on temperature. Increasing PH3/SiH4-ratio not only slows down layer growth rate but also the apparent activation energy of the layer forming reaction. An empirical equation describing the temperature dependence of that activation energy has been derived. Phosphine adsorption has been discussed as a cause of both layer growth rate and activation energy reduction. Additionally, incorporation of phosphorus during layer growth has been investigated with respect to the total amount and the electrically active concentration, the latter measured after a postdeposition anneal at 1000 °C.  相似文献   

13.
Silicon dioxide (SiO2) thick films have been deposited by plasma enhanced chemical vapor deposition (PECVD) and flame hydrolysis deposition (FHD). PECVD SiO2 films were obtained at low temperatures (<350 °C) by the decomposition of the appropriate mixture of (SiH4+N2O) gases under suitable rf power and N2O/SiH4 ratio. For low N2O/SiH4 ratio, a refractive index(n) value close to 1.50 is obtained. The deposition rate increased with the increase of rf power. FHD SiO2 films were produced by the flame hydrolysis reaction of halide materials such as SiCl4, POCl3 and BCl3 in an oxy‐hydrogen torch. The porous SiO2 layer, under the POCl3/BCl3 ratio deposition condition, has to be consolidated by annealing at around 1300 °C.  相似文献   

14.
In the fabrication of quartz glass fibers for optical communication, fluorine doping gets increasing importance. In contrast to other dopants, fluorine influences the deposition of SiO2 in Modified Chemical Vapour Deposition. An equation is derived from considerations of equilibrium chemistry which yields the deposition efficiency of SiO2 as function of fluorine doping. It is compared with experimental results.  相似文献   

15.
In this paper we report the performance and study of GaAs AlGaAs (SCH) laser. The structures were grown by a new variant of the LPE-technique with temperatures regimes lower than 600 °C. The Low Temperature LPE-technique, recently propoused by ANDREEV and coworkers at the IOFFE-Physico-Technical Institute exhibit growth rates of the growing layers as low as that corresponding to MBE or MOCVD techniques. In this way, it is possible to obtain and control layer thicknesses in the structure of the order of tens to hundreds of Ångströms. Laser heterostructures with active layer thickness of 30 nm, were performed and the lowest threshold current density of 437 A/cm2 for a cavity length of 1.33 mm were obtained. A typical growth process is described and a SEM structure microphotograph is shown. The dependences of the threshold current density Jth and the differential quantum efficiency ηd with the cavity length L are reported. From these data, other laser parameters are evaluated such as internal optical losses α1, internal quantum efficiency ηi and the dependence of the maximum of the gain factor against the nominal current density Jnom at threshold. This dependence was compared with the theoretical predictions and a good agreement was found.  相似文献   

16.
利用基于欧拉-欧拉两相流模型,建立硅烷热分解的均相和非均相反应模型,模拟了二维流态化的多晶硅化学气相沉积过程,以及硅烷、硅烯和硅沉积速率在反应器中的分布规律.模拟结果表明多晶硅的沉积主要发生在流化床中的密相区及气泡的周围,浓度相对较小的硅烯非均相反应对多晶硅沉积的贡献约为硅烷的10;.分析了硅烷入口浓度和反应温度对硅沉积速率及转化率的影响,模拟的硅沉积速率与文献中的实验数据做了比较.  相似文献   

17.
The effect of some sensitizers (methylene blue, methyl red, chlorophylls, coproporphyrin I, and hydrogen peroxide) on the transformation of η-TiO2, which is an anatase precursor in titanyl sulfate hydrolysis, into anatase has been studied. A decrease in the average crystallite size and suppression of the transformation of η-TiO2 into anatase is observed in the presence of methylene blue and methyl red organic dyes, as well as Ti(IV) peroxocomplexes,. The sample synthesized in the presence of 1 M H2O2 is characterized by the smallest crystallite sizes (2?4 nm) and the highest photocatalytic activity.  相似文献   

18.
We present an overview of the scientific challenges and achievements during the development of thin film silicon based single and multijunction solar cells with hot-wire chemical vapor deposition (HWCVD) of the active silicon layers. The highlights discussed include the development of Ag/ZnO coatings with a proper roughness and morphology for optimal light trapping in single and multijunction thin film silicon solar cells, studies of the structural defects created by a rough substrate surface and their influence on the performance of nc-Si:H n–i–p single junction solar cells, and studies of the phase change during the growth of nc-Si:H by HWCVD and the use of a ‘reverse’ H2 profiling technique to achieve nc-Si:H single junction n–i–p cells with high performance. Thus far, the best AM1.5 efficiency reached for n–i–p cells on stainless-steel with HWCVD i-layers is 8.6% for single junction nc-Si:H solar cells and 10.9% for triple junction solar cells. The opportunities for further improvement of cell efficiency are also discussed. We conclude that the uniqueness of HWCVD and of the i-layers deposited with this technique require some adjustments in the strategy for optimization of single or multijunction solar cells, such as using a reverse H2 profiling technique for the deposition of nc-Si:H i-layers. However, the output performance of solar cells with HWCVD deposited i-layers is close to those with i-layers deposited by other techniques. The difference between the best nc-Si:H n–i–p cells obtained so far in our lab and the reported best n–i–p cells with PECVD i-layers can be mainly attributed to the differences in the rough substrates and to the use of rather thin i-layers.  相似文献   

19.
Specific features of the decomposition of silicon hydrides on a Si(100) surface have been considered. Simulation of thermodesorption hydrogen spectra revealed three possible ways of hydrogen desorption from the surface and the occurrence of only one bimolecular decomposition reaction of SiH2 molecules. All the kinetic parameters were unambiguously determined from the comparison of the simulated and experimental spectra. The influence of the initial surface coverage on the thermodesorption spectra is also studied.  相似文献   

20.
The chemical vapor deposition of epitaxial layers of silicon is a widely used process in the electronic industry. It is a batch process and the relatively small capacity (i.e., 20–30 wafers) of epitaxial reactors significantly contributes to the expense of the process. We thus embarked on a research project aimed at a significant expansion of the reactor capacity. The first step was to conduct a complete characterization of the presently used reactors by means of flow visualization, temperature measurements and mass spectrometric studies; results of these studies will be briefly presented and discussed. The main conclusion from these studies was that up-scaling of present reactors is not economical. We thus designed and constructed a novel epitaxial reactor, radically different from current types. In this reactor the susceptor structure consists of parallel graphite discs. Wafers are fastened to one or both sides of these discs. The nutrient gaseous mixture is injected into spaces between discs by a specially designed gas distributor, which delivers the same amount of the mixture to all interdisc spacings, thus insuring the wafer-to-wafer thickness uniformity. A combination of the rotation of the susceptor discs with the gas distributor motion insures the on-the-wafer thickness uniformity. The above described parallel packing allows much higher reactor capacities (e.g., 50–100 wafers). It also results in a more economical reactor in terms of consumption of energy and chemicals. We shall illustrate the application of the novel reactor (known as the “RCA Rotary Disc Reactor”) to epitaxial deposition of silicon from SiCl2H2.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号