首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Ultrathin Mo (5 nm)/MoN (5 nm) bilayer nanostructure has been studied as a diffusion barrier for Cu metallization. The Mo/MoN bilayer was prepared by magnetron sputtering and the thermal stability of this barrier is investigated after annealing the Cu/barrier/Si film stack at different temperatures in vacuum for 10 min. The failure of barrier structure is indicated by the abrupt increase in sheet resistance and the formation of Cu3Si phase proved by X-ray diffraction (XRD) and energy-dispersive X-ray spectroscopy (EDS). High resolution transmission electron microscopy (HRTEM) examination suggested that the ultrathin Mo/MoN barrier is stable and can prevent the diffusion of Cu at least up to 600 °C.  相似文献   

2.
Copper diffusion barrier properties of phosphorous doped Ru film are studied. Phosphorous out-diffusion to Ru from underneath phosphosilicate glass (PSG) layer results in P doped Ru film. The doped Ru film improves copper barrier properties and has excellent thermal stability. XRD graph indicates that there is no copper silicide and ruthenium silicide formations after annealing at 550 °C for 30 min in vacuum. This result is consistant with AES depth profiles which show no Cu, Ru, O and Si inter-diffusion. The phosphorous doped Ru barrier also blocks oxygen's diffusion to copper from the PSG layer. The phosphorous doped Ru film could be an alternative Cu diffusion barrier for advanced Cu interconnects.  相似文献   

3.
Electrical resistivities and thermal stabilities of carbon-doped Cu films on silicon have been investigated. The films were prepared by magnetron sputtering using a Cu-C alloy target. After annealing at 400 °C for 1 h, the resistivity maintains a low level at 2.7 μΩ-cm and no Cu-Si reaction is detected in the film by X-ray diffraction (XRD) and transmission electron microscopy (TEM) observations. According to the secondary ion mass spectroscopy (SIMS) results, carbon is enriched near the interfacial region of Cu(C)/Si, and is considered responsible for the growth of an amorphous Cu(C)/Si interlayer that inhibits the Cu-Si inter-diffusion. Fine Cu grains, less than 100 nm, were present in the Cu(C) films after long-term and high-temperature annealings. The effect of C shows a combination of forming a self-passivated interface barrier layer and maintaining a fine-grained structure of Cu. A low current leakage measured on this Cu(C) film also provides further evidence for the carbon-induced diffusion barrier interlayer performance.  相似文献   

4.
A structure of Cu/ITO(10 nm)/Si was first formed and then annealed at various temperatures for 5 min in a rapid thermal annealing furnace under 10−2 Torr pressure. In Cu/ITO(10 nm)/Si structure, the ITO(10 nm) film was coated on Si substrate by sputtering process and the Cu film was deposited on ITO film by electroplating technique. The various Cu/ITO(10 nm)/Si samples were characterized by a four-point probe, a scanning electron microscope, an X-ray diffractometer, and a transmission electron microscope. The results showed that when the annealing temperature increases near 600 °C the interface between Cu and ITO becomes unstable, and the Cu3Si particles begin to form; and when the annealing temperature increases to 650 °C, a good many of Cu3Si particles about 1 μm in size form and the sheet resistance of Cu/ITO(10 nm)/Si structure largely increases.  相似文献   

5.
In this work, the effect of tin-doped indium oxide (ITO) film as capping layer on the agglomeration of copper film and the appearance of copper silicide was studied. Both samples of Cu 100 nm/ITO 10 nm/Si and ITO 20 nm/Cu 100 nm/ITO 10 nm/Si were prepared by sputtering deposition. After annealing in a rapid thermal annealing (RTA) furnace at various temperatures for 5 min in vacuum, the samples were characterized by four probe measurement for sheet resistance, X-ray diffraction (XRD) analysis for phase identification, scanning electron microscopy (SEM) for surface morphology and transmission electron microscopy (TEM) for microstructure.The results show that the sample with ITO capping layer is a good diffusion barrier between copper and silicon at least up to 750 °C, which is 100 °C higher than that of the sample without ITO capping layer. The failure temperature of the sample with ITO capping layer is about 800 °C, which is 100 °C higher than that of the sample without ITO capping layer. The ITO capping layer on Cu/ITO/Si can obstacle the agglomeration of copper film and the appearance of Cu3Si phase.  相似文献   

6.
The structural and morphological properties of epitaxial Cu/Si(0 0 1) type of structures have been investigated by a combination of electron, X-ray and scanning probe imaging techniques. Auger electron spectroscopy measurements indicate the presence of Si in the Cu layer for Cu thicknesses up to 10 nm. In addition, X-ray scattering results show that there is a mosaic spread in the Cu(0 0 1) crystal which decreases as the Cu thickness increases, from 8° at 15 nm to 4.5° at 100 nm. This behaviour is corroborated by reflection high energy electron diffraction patterns of the Cu surface measured during growth, which exhibit a twinning in the diffraction spots for the 15 and 30 nm Cu films. Atomic force and scanning electron microscopy imaging of Cu(4 nm)/Co(7,17 nm)/Cu(100 nm)/Si(0 0 1) structures allow one to visualise and characterise the sample surface in real space; from these measurements, an average roughness amplitude of ∼0.5 nm and a correlation length of ∼50 nm are obtained. Our results provide a better understanding of an important system which has been widely used as a template for the growth of epitaxial ultrathin magnetic films.  相似文献   

7.
This study elucidates the thermal stability and quasi ohmic contact characteristics of Cu(RuTaNx) fabricated on a barrierless GaAs substrate. Cu(RuTaNx) was prepared by cosputtering Cu, Ta, Ru, and N. The resistivity of the Cu(RuTaNx)/GaAs structure annealed at 500 °C for 30 min was lower than that of the as-deposited structure, and the former was thermally stable up to 500 °C after 30 min of annealing. Further, the Cu(RuTaNx)/GaAs structure exhibited electrical rectifying properties upon annealing at 550 °C for 10 min and revealed a quasi ohmic contact, as determined by the circular transmission line model (CTLM). The formation of quasi ohmic contact is further confirmed by transmission electron microscopy and energy dispersive X-ray spectroscopy.  相似文献   

8.
Zr-N diffusion barriers were deposited on the Si substrates by rf reactive magnetron sputtering under various substrate bias voltages. Cu films were subsequently sputtered onto the Zr-N films by dc pulse magnetron sputtering without breaking vacuum. The Cu/Zr-N/Si specimens were then annealed up to 650 °C in N2 ambient for an hour. The effects of deposition bias on growth rate, film resistivity, microstructure, and diffusion barrier properties of Zr-N films were investigated. An increase in negative substrate bias resulted in a decrease in deposition rate together with a decrease in resistivity. It was found that the sheet resistances of Cu/Zr-N(−200 V)/Si contact system were lower than those of Cu/Zr-N(−50 V)/Si specimens after annealing at 650 °C. Cu/Zr-N(−200 V)/Si contact systems showed better thermal stability so that the Cu3Si phase could not be detected.  相似文献   

9.
The adhesion of Cu on Ru substrates with different crystal orientations was evaluated. The crystal orientation of sputter deposited Ru could be changed from (1 0 0) to (0 0 1) by annealing at 650 °C for 20 min. The adhesion of Cu was evaluated by the degree of Cu agglomeration on Ru. Cu films on annealed Ru films with the (0 0 1) crystal orientation showed 28% lower RMS values and 50% lower Ru surface coverage than Cu as-deposited on Ru having the (1 0 0) crystal orientation after annealing at 550 °C for 30 min, which suggest that Cu wettability on the Ru(0 0 1) was better than that on the Ru(1 0 0) plane. The low lattice misfit of 4% between Cu(1 1 1) and Ru(0 0 1) may be the reason for this good adhesion property.  相似文献   

10.
Barrier capability of Zr-Si diffusion barriers in Cu metallization has been investigated. Amorphous Zr-Si diffusion barriers were deposited on the Si substrates by RF reactive magnetron sputtering under various substrate temperatures. An increase in substrate temperature results in a slightly decreased deposition rate together with an increase in mass density. An increase in substrate temperature also results in grain growth as deduced from field emission scanning electron microscopy (FE-SEM) micrographs. X-ray diffraction (XRD) spectra and Auger electron spectroscopy (AES) depth profiles for Cu/Zr-Si(RT)/Si and Cu/Zr-Si(300 °C)/Si samples subjected to anneal at various temperatures show that the thermal stability was strongly correlated with the deposition temperature (consequently different density and chemical composition etc.) of the Zr-Si barrier layers. ZrSi(300 °C) with higher mass density make the Cu/Zr-Si(300 °C)/Si sample more stable. The appearance of Cu3Si in the Cu/Zr-Si/Si sample is attributed to the failure mechanism which may be associated with the diffusion of Cu and Si via the grain boundaries of the Zr-Si barriers.  相似文献   

11.
The saddle field fast atom beam sputtered (ABS) 50 nm thick molybdenum carbide (Mo2C) films as a diffusion barrier for copper metallization were investigated. To study the diffusion barrier properties of Mo2C films, the as-deposited and annealed samples were characterized using four probes, X-ray diffraction, field enhanced scanning electron microscopy, energy dispersive X-ray analysis, atomic force microscopy and Rutherford back scattering techniques. The amorphous structure of the barrier films along with presence of carbon atoms at the molybdenum carbide-silicon interface is understood to reduce effective grain boundaries and responsible for increased thermal stability of Cu/Mo2C/Si structure. The lowest resistivity of the as-deposited molybdenum carbide barrier films was ∼29 μΩ cm. The low carbon containing molybdenum carbide was found thermally stable up to 700 °C, therefore can potentially be used as a diffusion barrier for copper metallization.  相似文献   

12.
The effects of interface roughness of Ta seedlayer on the structural and magnetic properties of Co72Pt28(20 nm)/Ru(30 nm)/Pt(2 nm)/Ta(5 nm)/glass were investigated. Uniaxial perpendicular magnetic anisotropy (8.6×106 ergs/cc), coercivity (5.5 kOe) and nucleation field (−2.8 kOe) in the Co72Pt28 thin film sputter-deposited on relatively smooth surface of Ta seedlayer were achieved. The results showed that relatively smoother interface roughness of Ta seedlayer improved the CoPt/Ru (0 0 0 2) texture and magnetic properties.  相似文献   

13.
Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into TixSiy substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 °C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers.  相似文献   

14.
In this paper, we report that the phase transformation of Ni-B, Ni-P diffusion barriers deposited electrolessly on Cu, for the reason that the Ni-P layer is a more effective diffusion barrier than the Ni-B layer. The Ni3B crystallized was decomposed to Ni and B2O3 above 400 °C and the Ni3P crystallized was decomposed to Ni and P2O5 above 600 °C respectively in Ar atmosphere. Also, the Ni3B was decomposed to Ni and free B above 400 °C and the Ni3P was decomposed to Ni and free P above 600 °C respectively in H2 atmosphere. The decomposed Ni formed a solid solution with Cu. The Cu diffusion occurred above 400 °C for Ni-B layer and above 600 °C for Ni-P layer, respectively. Because the decomposition temperature of Ni-P layer is about 200 °C higher than that of Ni-B layer, the Ni-P layer is a more effective barrier for Cu than the Ni-B layer.  相似文献   

15.
A Cu(I) complex, [Cu(Dppp)(DPEphos)]BF4 (Dppp=2,3-diphenyl-pyrazino[2,3-f][1,10]phenanthroline, DPEphos=Bis[2-(diphenylphosphino)phenyl]ether), is synthesized and used as the dopant in bright electrophosphorescent devices with the general structure ITO/m-MTDATA (30 nm)/NPB (20 nm)/CBP: ×wt% [Cu(Dppp)(DPEphos)]BF4 (30 nm)/Bphen (20 nm)/Alq3 (20 nm)/LiF (0.8 nm)/ Al (200 nm). These devices exhibit a maximum brightness of 4483 cd/m2 and a peak efficiency of 3.4 cd/A. Compared with previously reported similar devices based on Cu(I) complexes, the brightness of the devices presented in this article is the best. Meanwhile, 2% [Cu(Dppp)(DPEphos)]BF4-based devices exhibit white light-emitting properties with CIE coordinates of (0.32. 0.35) at 10 V.  相似文献   

16.
After chemical mechanical planarization (CMP), the reason which caused the formation of Cu-oxide defects at the interface between Cu deposit and TaN barrier layer has been studied. The experimental results of atomic force microscopy, secondary ion mass spectroscopy, X-ray diffraction demonstrated that the agglomeration phenomenon was found on Cu seed in the thickness of only 10 nm, thus resulting in the electrodeposited Cu film with more abundant C impurities at Cu/TaN interface and lower (1 1 1)/(2 0 0) ratio compared to the thick one (30 nm). Therefore it caused the Cu deposit with poor corrosion resistance and then the Cu-oxide defects were easily formed after CMP. As a result, the correlation between Cu-oxide defects at the Cu/TaN interface and the agglomeration on Cu seed layer was proposed herein.  相似文献   

17.
In this study, an electroplating method to deposited Ni, crystalline NiW(c-NiW), amorphous NiW (a-NiW) films on P-type Si(1 0 0) were used to form Ni-silicide (NiSi) films. After annealed at various temperatures, sheet resistance of Ni/Cu, c-NiW/Cu and a-NiW/Cu was measured to observe the performance of those diffusion barrier layers. With W added in the barrier layer, the barrier performance was improved. The results of XRD and resistance measurement of the stacked Si/Ni(W)/Cu films reveal that Cu atom could diffuse through Ni barrier layer at 450 °C, could diffuse through c-NiW at 550 °C, but could hardly diffuse through a-NiW barrier layer. c-NiW layer has a better barrier performance than Ni layer, meanwhile the resistance is lower than a-NiW layer.  相似文献   

18.
Metal silicide technology has been attracting attention worldwide and it constitutes an active, frontier area of research. Research in this area has not only stimulated the exploration of new phenomena, but is also leading to a technological revolution. Electron beam evaporation in ultra high vacuum (UHV) environment is one of the best techniques to grow thin metal film on Si substrate. Metal silicide contact is an interesting and important part of integrated circuit. Due to selective growth and high thermal stability metal silicides are used in very large scale integrated (VLSI) and ultra large scale integrated (ULSI) applications. In this paper our interest is to show GIXRD, XRR and SPM measurement on C (2 nm)/Cr (25 nm)/Si (1 0 0) system in which thin films were deposited using electron beam evaporation technique at 2 × 10−8 Torr vacuum. The capping layer of 2 nm carbon is deposited to stop contamination. The C (2 nm)/Cr (25 nm)/Si (1 0 0) system were annealed in 10−5 Torr vacuum at temperatures 300-600 °C to study the formation of chromium silicide. Structural properties at the interface has been studied by grazing incidence X-ray diffraction (GIXRD), which shows formation of Cr3Si and CrSi2 as a result of interface mixing due to annealing. The morphology of the system was investigated by AFM in tapping mode. It was found that nano-rod type structures were formed with annealing at 600 °C temperature.  相似文献   

19.
A new method was developed to control Co film oxidation in an epitaxially grown Cu(wedge)/Co/Cu(0 0 1) film. By annealing the film at 200 °C within 10−6 Torr oxygen environment, we find that the top Cu wedge controls the Co underlayer oxidation continuously as a function of the Cu film thickness. Magneto-Optic Kerr Effect measurement shows that the exchange bias of the resulting CoO/Co film exhibits a systematic variation with the Cu thickness, thus offering a new method of tailoring the exchange bias of CoO/Co films.  相似文献   

20.
The present study is focused on the influence of vacuum thermal treatment on surface/interface electronic properties of Si/Ge multilayer structures (MLS) characterized using X-ray photoelectron spectroscopy (XPS) technique. Desired [Si(5 nm)/Ge(5 nm)]×10 MLS were prepared using electron beam evaporation technique under ultra high vacuum (UHV) conditions. The core-level XPS spectra of as-deposited as well as multilayer samples annealed at different temperatures such as 100 °C, 150 °C and 200 °C for 1 h show substantial reduction in Ge 2p peak integrated intensity, whereas peak intensity of Si 2p remains almost constant. The complete interdiffusion took place after annealing the sample at 200 °C for 5 h as confirmed from depth profiling of annealed MLS. The asymmetric behaviour in intensity patterns of Si and Ge with annealing was attributed to faster interdiffusion of Si into Ge layer. However, another set of experiments on these MLS annealed at 500 °C suggests that interdiffusion can also be studied by annealing the system at higher temperature for relatively shorter time duration.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号