首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Sandwich-structure Al2O3/HfO2/Al2O3 gate dielectric films were grown on ultra-thin silicon-on-insulator (SOI) substrates by vacuum electron beam evaporation (EB-PVD) method. AFM and TEM observations showed that the films remained amorphous even after post-annealing treatment at 950 °C with smooth surface and clean silicon interface. EDX- and XPS-analysis results revealed no silicate or silicide at the silicon interface. The equivalent oxide thickness was 3 nm and the dielectric constant was around 7.2, as determined by electrical measurements. A fixed charge density of 3 × 1010 cm−2 and a leakage current of 5 × 10−7A/cm2 at 2 V gate bias were achieved for Au/gate stack /Si/SiO2/Si/Au MIS capacitors. Post-annealing treatment was found to effectively reduce trap density, but increase in annealing temperature did not made any significant difference in the electrical performance.  相似文献   

2.
Raman spectroscopy/mapping is used to investigate the variation of Si phonon wavenumbers, i.e., lower wavenumber (LW ~ 495–510 cm−1) and higher wavenumber (HW ~ 515–519 cm−1) phonons, observed in Si–SiO2 multilayer nanocomposite (NCp) grown using pulsed laser deposition. Sensitivity of Raman spectroscopy as a local probe to surface/interface is effectively used to show that LW and HW phonons originate at surface (Si–SiO2 interface) and core of Si nanocrystals, respectively. The consistent picture of this understanding is developed using Raman spectroscopy monitored laser heating/annealing and cooling experiment at the site of the desired wavenumber, chosen with the help of Raman mapping. Raman spectra calculations for Si41 cluster with oxygen and hydrogen termination show strong mode at 512 cm−1 for oxygen terminated cluster corresponding to the vibration of surface Si atoms. This supports our attribution of LW phonons to be originating at the Si–SiO2 surface/interface. These results along with XPS show that nature of interface (oxygen bonding) in turn depends on the size of nanocrystals and LW phonons originate at the surface of smaller Si nanocrystals. The understanding developed can conclude the ongoing debate on large variation in Si phonon wavenumbers of Si–SiO2 NCps in the literature. Copyright © 2015 John Wiley & Sons, Ltd.  相似文献   

3.
The properties of silver-silicon interfaces formed by cleaving n-type silicon in ultra high vacuum (UHV) in a stream of evaporating silver atoms were studied. The barrier heights of these contacts were measured at different temperatures by using C-V techniques. All measurements were performed in UHV. The dependence of the barrier height upon temperature did not follow the temperature dependence of the Si band gap as it is usually found. The measured temperature behavior depended on the roughness of the Si surface. The temperature behavior can be explained by assuming a specific band structure of the interface states. For Ag contacts on atomically smooth n-type Si, the interface states were found to be arranged in two bands, one band 4 × 10?3 eV wide with acceptor type states 0.18 eV below the intrinsic level Ei and a density of 1017 states/cm2 eV, and the other 1 eV wide with donor type states with its upper edge 0.28 eV below Ei, and a density of 4 × 1014 states/cm2eV.  相似文献   

4.
The deposition of titanium silicon oxide films on silicon using hexafluorotitanic acid and boric acid as sources is much enhanced by nitric acid incorporation. The deposition delay time is almost zero. The structure of the films is titanium silicon oxide examined by Fourier transform infrared spectrometer. By current-voltage measurement, the leakage current of the as-deposited film with a thickness of 458 Å is about 7.78×10-6 Å/cm2 at the electrical field of 1 MV/cm. By capacitance-voltage measurement, the effective oxide charge of the as-deposited film is 6.31×1010 cm-2. The static dielectric constant and refractive index are about 13 and 1.98, respectively. Compared with that without nitric acid incorporation, the lower effective oxide charge is from a sharp interface due to in-situ etching of nitric acid. The higher leakage current is from the higher deposition rate and the higher dielectric constant is from higher titanium content. PACS 77.84.-s  相似文献   

5.
A key requirement in the recent development of highly efficient silicon solar cells is the outstanding passivation of their surfaces. In this work, plasma enhanced chemical vapour deposition of a triple layer dielectric consisting of amorphous silicon, silicon oxide and silicon nitride, charged extrinsically using corona, has been used to demonstrate extremely low surface recombination. Assuming Richter's parametrisation for bulk lifetime, an effective surface recombination velocity Seff = 0.1 cm/s at Δn = 1015 cm–3 has been obtained for planar, float zone, n ‐type, 1 Ω cm silicon. This equates to a saturation current density J0s = 0.3 fA/cm2, and a 1‐sun implied open‐circuit voltage of 738 mV. These surface recombination parameters are among the lowest reported for 1 Ω cm c‐Si. A combination of impedance spectroscopy and corona‐lifetime measurements shows that the outstanding chemical passivation is due to the small hole capture cross section for states at the interface between the Si and a‐Si layer which are hydrogenated during nitride deposition. (© 2016 The Authors. Phys. Status Solidi RRL published by WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

6.
Inhomogeneous hyperdoping of a 100-nm-thick silicon surface layer with sulfur atoms at concentrations above 2 × 1021 cm?3 was obtained via its femtosecond laser ablation in a sulfur-containing organic solvent. Infrared transmission spectroscopy reveals distinct interband absorption peaks of donor sulfur states, which are absent in the initial crystalline silicon, and a broad absorption band of free carriers with a concentration of ~1018 cm?3. The rather low free-carrier concentration is related to equilibrium room-temperature ionization of localized donor sulfur states, preserving their nondegenerate character owing to the strong electronion binding in the donor states.  相似文献   

7.
Oxygen and hydrogen accumulations at buried implantation-damage layers were studied after post-implant-ation annealing of hydrogen- and helium-implanted Czochralski (Cz) silicon. Hydrogen implantation was carried out at energies E=180 keV and doses D=2.7×1016 cm-2, and helium implantation at E=300 keV and D=1016 cm-2. For comparison hydrogen implantation was also done into float-zone (Fz) silicon wafers. Post-implantation annealing at 1000 °C was done either in H2 or N2 atmosphere. Hydrogen and oxygen concentration profiles were measured by secondary ion mass spectroscopy (SIMS). It is shown that the ambient during annealing plays a significant role for the gettering of oxygen at buried implantation-damage layers in Cz Si. For both hydrogen and helium implantations, the buried defect layers act as rather effective getter centers for oxygen and hydrogen at appropriate conditions. The more efficient gettering of oxygen during post-implantation annealing in a hydrogen ambient can be attributed to a hydrogen-enhanced diffusion of oxygen towards the buried implantation-damage layers, where a fast oxygen accumulation occurs. Oxygen concentrations well above 1019 cm-3 can be obtained. From the comparison of measurements on hydrogen-implanted Cz Si and Fz Si one can conclude that at the buried defect layers hydrogen is most probably trapped by voids and/or may be stable as immobile molecular hydrogen species. Therefore hydrogen accumulated at the defect layers, and is preserved even after high-temperature annealing at 1000 °C. Received: 3 July 2000 / Accepted: 11 July 2000 / Published online: 22 November 2000  相似文献   

8.
Surface Photovoltage Spectroscopy (SPS) technique has been used to detect the surface states of ZnSe (110) surfaces. Aqueous electrolyte/ZnSe junction has been electrochemically investigated in dark and under illumination. The effect of surface states on the kinetics of charge transfer through the semiconductor-electrolyte (S/E) junction has been discussed. The low leakage and photocurrents measured by the application of D.C. bias were referred to the blocking nature of S/E interface, in which the localized and induced surface states play an important role.  相似文献   

9.
X-ray photoelectron spectroscopy (XPS) measurements under bias can observe low density interface states for metal-oxide-semiconductor (MOS) diodes with low densities. This method can give energy distribution of interface states for ultrathin insulating layers for which electrical measurements cannot be performed due to a high density leakage current. During the XPS measurements, a bias voltage is applied to the rear semiconductor surface with respect to the ∼3 nm-thick front platinum layer connected to the ground, and the bias voltage changes the occupation of interface states. Charges accumulated in the interface states shift semiconductor core levels at the interface, and thus the analysis of the bias-induced shifts of the semiconductor core levels measured as a function of the bias voltage gives energy distribution of interface states. In the case of Si-based MOS diodes, the energy distribution and density of interface states strongly depend on the atomic density of silicon dioxide (SiO2) layers and the interfacial roughness, respectively. All the observed interface state spectra possess peaked-structures, indicating that they are due to defect states. An interface state peak near the Si midgap is attributable to isolated Si dangling bonds at the interface, while those above and below the midgap to Si dangling bonds interacting weakly with Si or oxygen atoms in the SiO2 layers. A method of the elimination of interface states and defect states in Si using cyanide solutions has been developed. The cyanide method simply involves the immersion of Si in KCN solutions. Due to the high Si-CN bond energy of ∼4.5 eV, the bonds are not ruptured at 800 °C and upon irradiation. The cyanide treatment results in the improvement of the electrical characteristics of MOS diodes and solar cells.  相似文献   

10.
Thick (i.e., ∼10 nm) SiO2/Si structure has been formed at 121 °C by immersion of Si in relatively low concentration HNO3 followed by that in 68 wt.% HNO3 (i.e., two-step nitric acid (HNO3) oxidation method of Si, NAOS) and spectroscopic properties and electrical characteristics of the NAOS SiO2 layers are investigated. The SiO2 thickness strongly depends on the concentration of HNO3 aqueous solutions employed in the initial oxidation, and it becomes the largest at the HNO3 concentration of 40 wt.%. The MOS diodes with the ∼9 nm SiO2 layer formed by the NAOS method possess a relatively low leakage current density (e.g., 10−8 A/cm2 at the forward bias of 1 V) and it is further decreased by more than one order of magnitude by post-metallization annealing (PMA) in hydrogen at 250 °C. The good leakage characteristic is attributable to atomically flat SiO2/Si interfaces and high atomic density of 2.30-2.32 × 1022 atoms/cm3 of the NAOS SiO2 layers. High-density interface states are present in as-prepared SiO2 layers and they are eliminated by PMA in hydrogen.  相似文献   

11.
The effective mass in two dimensional space charge layers has been determined from plasmon resonance experiments on the three principal surfaces of Si for a wide range of charge densities NS=0.5×1012cm-2 to 1.2× 1013cm-2 and different directions of the plasmon wavevector in the surface. We report first plasmon experiments on Si (110) and verify an anisotropic plasmon mass. Special attention is paid to the low density regime where electron-electron interaction and localization effects are expected. On all surfaces we find a mass enchancement with decreasing charge density. The onset of the mass enchancement occurs at different charge densities for the different surfaces and is correlated with the Fermi energy.  相似文献   

12.
Ni + Mo + Si composite coatings were prepared by co-deposition of nickel with molybdenum and silicon powders from a nickel solution in which Mo and Si particles were suspended by stirring. The layers have been deposited on a carbon steel substrate (St3S) under galvanostatic conditions. The content of Si in deposited layers was about 2-5 wt.% depending on deposition current density and the value of electric charge. For comparison Ni + Mo composite coatings were obtained under analogous current conditions. Composite coatings of enhanced Si content (15 wt.%) were deposited from an electrolyte in which 40 g/dm3 of Si covered with electroless plated nickel was dispersed. Deposition current density was equal 0.1 A/cm2 and the value of electric charge Q = 500 C/cm2. The thickness of the coatings was about 100-300 μm depending on their kind, electric charge and the deposition current density. Surface and cross-section morphology were investigated by scanning electron microscope (SEM). All deposited coatings are characterized by great, developed surface area. No internal stresses causing their cracking were observed. Chemical composition of the layers was determined by X-ray fluorescence spectroscopy (XRF) method and quantitative X-ray analysis (QXRD). It was stated, that the content of molybdenum and silicon in Ni + Mo + Si coatings depends on deposition current density and the amount of the powder in bath. The results of structural investigation of the obtained layers by the X-ray diffraction (XRD) method show, that they consist in crystalline Mo or Mo and Si phases built into Ni matrix. Moreover, Ni + Mo + Si composite coatings were modified by thermal treatment. It has been found that the thermal treatment of Ni + Mo + Si composite coatings caused that the new phases (NiSi, Mo2Ni3Si and Ni6Mo6C1.06) were obtained.  相似文献   

13.
Stacks of aluminum oxide and silicon nitride are frequently used in silicon photovoltaics. In this Letter, we demonstrate that hydrogenated aluminum nitride can be an alternative to this dual‐layer stack. Deposited on 1 Ω cm p‐type FZ silicon, very low effective surface recombination velocities of 8 cm/s could be reached after firing at 820 °C. This excellent passivation is traced back to a high density of fixed charges at the interface of approximately –1 × 1012 cm–2 and a very low interface defect density below 5 × 1010 eV–1 cm–2. Furthermore, spectral ellipsometry measurements reveal that these aluminum nitride layers have ideal optical properties for use as anti‐reflective coatings. (© 2013 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

14.
The low thermal stability of hydrogenated amorphous silicon (a‐Si:H) thin films limits their widespread use for surface passivation of c‐Si wafers on the rear side of solar cells. We show that the thermal stability of a‐Si:H surface passivation is increased significantly by a hydrogen rich a‐Si:H bulk, which acts as a hydrogen reservoir for the a‐Si:H/c‐Si interface. Based on this mechanism, an excellent lifetime of 5.1 ms (at injection level of 1015 cm–3) is achieved after annealing at 450 °C for 10 min. (© 2010 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

15.
Raman spectroscopy and Hall measurements have been carried out to investigate the differences in near‐surface charge carrier modulation in high energy (~100 MeV) silicon ion (Si8+) and oxygen ion (O7+) irradiated n‐GaAs. In the case of O ion irradiation, the observed decrease in carrier concentration with increase in ion fluence could be explained in the view of charge compensation by possible point defect trap centers, which can form because of elastic collisions of high energy ions with the target nuclei. In Si irradiated n‐GaAs one would expect the carrier compensation to occur at a fluence of 2.5 × 1013 ions/cm2, if the same mechanism of acceptor state formation, as in case of O irradiation, is considered. However, we observe the charge compensation in this system at a fluence of 5 × 1012 ions/cm2. We discuss the role of the complex defect states, which are formed because of the interaction of the primary point defects, in determining carrier concentration in a Si irradiated n‐GaAs wafer. The above results are combined with the reported data from the literature for high energy silver ion irradiated n‐GaAs, in order to illustrate the effect of both electronic and nuclear energy loss on trap creation and charge compensation. Copyright © 2016 John Wiley & Sons, Ltd.  相似文献   

16.
The intercalation of silicon under graphene on the Co(0001) surface, which is accompanied by the formation of a silicon solid solution in cobalt and by the formation of a surface crystalline Co2Si phase, has been investigated using photoelectron spectroscopy. It has been shown that the formation of cobalt silicide leads to a substantial weakening of the hybridization of electronic states of graphene and cobalt and to the recovery of the Dirac spectrum of electronic states of graphene near the Fermi level. This has made it possible to investigate the electron doping of graphene on the cobalt silicide substrate upon deposition of lithium on its surface. It has been found that doping with lithium leads to a significant charge transfer onto graphene, and the electron concentration reaches 3.1 × 1014 cm?2. Moreover, the specific form of the Fermi surface creates favorable conditions for the enhancement of the electron-phonon coupling. As a result, the formed system can be considered as a candidate for the creation of superconductivity in single-layer graphene.  相似文献   

17.
Extremely strong accumulation layers with surface electron densities ΔN approaching 1014 cm?1 have been achieved on ZnO surfaces in contact with an electrolyte. Quantization effects, which are very pronounced in such narrow (?10 Å) layers, are studied by measurements of ΔN versus surface barrier height Vs. Comparison of the results with self-consistent calculations shows very good agreement up to ΔN = 2 × 1013 cm?2. Deviations observed at higher ΔN are probably associated with the huge electric fields (~107 V/cm) experienced by the surface electrons.  相似文献   

18.
The extra-mode at 214 cm-1 which is observed in the infrared spectrum of hydrogenated amorphous silicon is interpreted as being due to the presence of small (? 7 atoms) internal surfaces in the samples. Calculations of the phonon density of states at internal surfaces in bulk Si Bethe lattices show a pronounced peak at the edge of the TA band (≈ 210 cm-1. It is shown that when hydrogen is present the mode is infrared active through a dynamical charge transfer mechanism.  相似文献   

19.
The etch rate ofn-type Si in diluted HF solutions was investigated as a function of the bias voltage applied to the Si/electrolyte interface in the dark and under illumination. It was observed that the etch rate depends very sensitively on the minority carrier flow through this interface. For an illumination intensity of 5.3×1016 photons/cm2 s (=550nm) and the depleted Si/electrolyte interface biased slightly (less than 1 V) in reverse, the etch rate is increased by a factor of more than 1000 as compared to the etch rate under open-circuit condition. This effect can be used to create etch patterns during device processing without prior masking the semiconductors. Using the same effect it should be possible to trim the thickness of Si layers on (semi-) insulating substrates for the fabrication of enhancement-mode FETs.  相似文献   

20.
在SIMOX SOI材料的埋氧中注氮是为了增强该类材料的抗辐射能力.通过C-V研究表明,对于埋氧层为150 nm的SIMOX SOI材料来说,当在其埋氧中注入4×1015cm-2剂量的氮后,与未注氮埋氧相比,注氮埋氧中的固定正电荷密度显著增加了;而对于埋氧层为375nm的SIMOX SOI材料来说,当注氮剂量分别为2×1015cm-2和3×1015cm-2关键词: SIMOX 埋氧 注氮 固定正电荷密度  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号