首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Implementation of Cu/low-k in advanced interconnections requires a diffusion barrier to prevent copper penetration in low-k dielectrics. The barrier should be continuous to prevent copper diffusion and thin enough to keep interconnection line resistance low. Deposition of a diffusion barrier becomes an issue when porous low-k dielectrics are used. We developed a Monte Carlo simulation model to describe deposition of a diffusion barrier on a porous low-k film. The model provided explanation for the sealing behavior of different porous film by TaN diffusion barrier. Previously we have shown that TaN barrier integrity depends on chemical nature of the substrate rather than on porous structure: the same barrier can be continuous on oxycarbide (SiOCH) but non-continuous on HSQ (hydrogen silsesquioxane) although porous structures of the two films are similar. Using the model, we show that surface diffusion of TaN during deposition plays a key role in continuous barrier formation. Presence of carbon suppresses TaN diffusion (probably by TaC formation) and the barrier does not penetrate deep into the film forming a continuous layer on the top surface. The model is also able to predict sealing behavior for different porous low-k films with different porosity/pore sizes.  相似文献   

2.
A non-stoichiometric silicon oxide film has been deposited by evaporating SiO as a source material in Ar and O2 mixed gas. The film is composed of SiO and SiO2, and has a porous structure. The SiO2 results from some part of SiO reacting with O2 and its amount depends on the pressure in the chamber. The residual SiO in the film can be photo-oxidized into SiO2 by ultraviolet radiation with a Hg lamp. The dielectric constant of the film after photo-oxidation is 1.89±0.04 (at frequency of 1 MHz), which shows that this porous structure film is promising for potential application as a low-k dielectric.  相似文献   

3.
A chemical mechanical polishing process for a stacked low-k dielectric material, which is suitable for inter-metal dielectric applications, has been developed. The dielectric is deposited by CVD and composed of a methyl-doped silicon oxide (i.e., low-k Flowfill) embedded between thin SiO2 layers. A new CMP parameter is introduced, which is the removal rate selectivity between two different kinds of materials. We were able to adjust the selectivity between cap and low-k Flowfill layer in a range between 3:1 and 1:5 by tuning the slurry mixture. Different test structures were used to investigate the effect of the removal rate selectivity on the planarisation efficiency of the CMP process. A higher removal rate of the low-k Flowfill layer in comparison to that of the cap layer results in a significant increase of the planarisation length and a reduction of the overpolish needed to achieve planarity.  相似文献   

4.
The W-based diffusion barriers W, WC and WCN barriers were investigated for Cu metallization. The thermal stability of the W, WC and WCN barriers was compared by X-ray diffraction and four point probe. It shows comparable stability for the W and WC barriers while the ternary WCN barrier has superior performance. The agglomeration of the Cu films (100 nm) on these barriers is quite different. The formation of voids was observed for the annealed copper film on the WC or WCN barriers and the activation energy values determined from Kissinger equation are low comparing with Cu on W barrier. Twins were also observed in the as-deposited and annealed Cu films on the WC and WCN barriers. The twin formation and its correlation with void formation for Cu films onto the C-containing diffusion barrier were discussed through the stress relaxation and stress-induced vacancy migration mechanism.  相似文献   

5.
The diffusion barrier properties of PVD Ru and PECVD / PEALD Ru-C films, deposited by RuEtcp2 precursor and N2/H2 plasma, were compared on the basis of bias temperature stress measurements. An MIS test structure was used to distinguish between thermal diffusion induced by annealing and a Cu field drift due to applied electric fields. BTS-CV, TZDB and TDDB measurements revealed that the barrier performance is significantly better for PEALD and PECVD Ru-C films. This improvement is associated with carbon impurities in the Ru films with a concentration in the order of several percent according to ToF-SIMS and ERDA. The TDDB mean time to failure at 250 °C, +5 MV/cm was 7 s for PVD Ru samples, ≈500 s for PECVD Ru-C, ≈800 s for PEALD Ru-C and >3600 s for PVD TaN. Triangular voltage sweep measurements at 300 °C, 0.1 V/s confirmed the presence of Cu ions inside the SiO2 for degraded dots, in contrast to the Al reference sample and to PVD TaN, which performed best among all the Cu barriers under test. XRD data suggests that PEALD and PECVD Ru-C films are only weakly crystalline.  相似文献   

6.
The so-called 3ω measurement technique (transient hot wire method) was established to determine the thermal conductivity of thin films. Measurements of standard substrates and films validate the found thermal conductivity values and agree with published, commonly accepted values. The method was successfully applied to determine the thermal conductivity of porous low-k dielectric materials using special test structure fabrication. The thermal conductivity of the porous low-k dielectrics thus measured is only between 7 and 13% of the thermal conductivity of thermally grown silicon dioxide.  相似文献   

7.
This work investigates the Cu diffusion in SiCOH low dielectric constant films treated by O2 plasma. By capacitance–voltage and current-voltage measurement, and thermal stress analysis, it is found that the O2 plasma surface treatment of SiCOH films can lead to the decrease of flatband voltage shift ΔVFB, the increase of activation energy Ea, and the decrease of leakage current. The small ΔVFB and lower leakage current indicate the weak Cu diffusion. The increase of active energy means the reducing of fast Cu ions surface diffusion through the interconnected pores structure of the film. Hence, the Cu diffusion in SiCOH films can be reduced by O2 plasma treatment. By FTIR and AFM analysis on the bonding configuration and microstructure, the reduce of Cu diffusion is related to the increase of Si-O cages and networks, which makes more open pores sealed at the surface of SiCOH films.  相似文献   

8.
Zirkon™ LK2000 version 1 dielectric film (Zirkon™ is a trademark of Shipley Company L.L.C), a porous methylsilsesquioxane (MSQ)-based spin-on dielectric with a k value targeted at 2.0, has been integrated in single damascene structures. For patterning, a dual SiC/SiO2 CVD hard-mask was used. Surface treatments (DUV ozone (DUV-O3), plasma treatments) were tested to solve the adhesion issues encountered at the CVD hard-mask and the low-k interface. Adhesion is only improved when plasma treatments are used. Analyses (FTIR, TDS, nano-indentation) show that the plasma treatments only modify the low-k surface. For integration, a plasma treatment (He, NH3, N2/O2) prior to deposition of the CVD hard-mask was included. After patterning, copper metallization and CMP of the wafers, electrical evaluation shows that, compared to the reference wafer (no plasma treatment), plasma-treated wafers have a higher yield and a lower sheet resistance. The RC delay is slightly higher for the plasma-treated wafers than for the reference wafer.  相似文献   

9.
Atomic layer deposited nanolaminates of alternating AlOx and TiOx thin-films are investigated as moisture barriers for organic electronic devices. Direct encapsulation on organic light emitting diodes (OLEDs) is tested in aging experiments and compared to calcium corrosion tests of equivalent barrier films. This allows for a direct assessment of moisture barrier performance in simple as well as more complex systems. Thickness variations are performed for the nanolaminate single and total layer thickness, with an optimum single layer thickness of 1–2 nm observed. This correlates to the maximum number of dyads once completely closed single layers are produced. For large single layer thickness and low dyad count, strong lateral diffusion from the edges occurs in the OLEDs, which likely correlates to poor mechanical stability. At optimum single layer thickness, barriers remain mechanically and chemically stable up to 100 nm total thickness. OLEDs encapsulated with such nanolaminate barriers show no significant degradation after 2500 h of continuous aging.  相似文献   

10.
单兴锰  肖夏  刘亚亮 《半导体学报》2010,31(8):082002-5
激光激发声表面波技术探测薄膜的杨氏模量是一种快速、准确、无损的方法。在薄膜表面,声表面波通过激光短脉冲与介质材料之间的热弹作用被激发。在分层结构的薄膜上传播时,表面波会发生色散现象,通过对实验测得的表面波色散曲线和理论计算的到的色散曲线进行匹配,可以获得薄膜的杨氏模量。在本实验中,采用紫外波段激光脉冲可以产生具有很宽频谱范围的表面波信号,色散曲线的范围可达180 MHz。应用声表面方法对生长于Si(100)衬底上,具有不同厚度的低k纳米多孔黑钻石绝缘薄膜的杨氏模量进行了成功探测。  相似文献   

11.
The thermal stability of Ti and Ti/Al thin barrier layers for Cu metallizations of surface acoustic wave (SAW) devices has been investigated by resistance measurements and analytical transmission electron microscopy (TEM) using energy dispersive analysis (EDX), energy filtered analysis (EFTEM) within a temperature range between RT and 300 °C. Due to the strong increase of the sheet resistance of the sample containing the Ti/Al-barrier, structural changes in the Al layer lead to a failure at 300 °C, whereas the other sample containing Ti only as a barrier layer did not show any obvious structural changes.  相似文献   

12.
Schottky barriers on n-GaN grown on SiC   总被引:2,自引:0,他引:2  
Characteristics of Schottky barriers fabricated on n-type GaN were investigated. The barriers were formed by vacuum thermal evaporation of Cr, Au, and Ni. Current-voltage (I-V) and capacitance-voltage (C-V) characteristics of the barriers were measured in a wide temperature and current density range. Fundamental parameters (barrier height and built-in potential) of the Schottky barriers were determined. The dependence of the barrier ideality factor on doping concentration in GaN was measured. Correlation between the barrier height and metal work function was observed. The electron affinity for GaN was determined using both C-V and I-V characteristics. The current flow mechanism through the barriers is discussed.  相似文献   

13.
In this work the compatibility of MOCVD TiN barrier films on porous SiO2 aerogel as low-k dielectric was investigated. The continuity, roughness, and sheet resistance, Rs, of the barrier as well as the electrical properties of the aerogel were investigated. A continuous TiN barrier on uncapped and PECVD SiN capped aerogel exists at 30 and ≤20 nm, respectively. The high surface roughness of the TiN is caused by the aerogel layer. TiN penetration into uncapped aerogel was detected in the interface region, whereas capped low-k material shows no interaction with the barrier film.  相似文献   

14.
The aim of this study was the testing of various low-k insulators deposited at temperatures below approximately 200 °C for use in copper interconnects. Various spin-on glasses (SOGs), purchased from Filmtronics Inc. and polymers such as the well-known poly(methyl methacrylate) (PMMA), the newly synthesized Poly(2,2,2 tri-fluoro-ethyl methacrylate) (PFEMA) and poly(dymethyl-siloxane) (PDMS) were tested. The above materials were compared with respect to their handling (application, curing, mechanical strength, patterning) and dielectric constant. It was shown that organic polymers containing C–F (PFEMA) and Si–O (PDMS) bonds present considerable advantages (related to the value of k and to handling) for use in Cu/low-k interconnects compared with usual SOGs cured at low temperatures.  相似文献   

15.
Hydrogenated amorphous silicon films were deposited in a three electrode dc glow discharge system under the substrate bias. The composition of the films, using infrared spectroscopy, has been investigated. The photovoltaic parameters of the fabricated Au and Pt Schottky barrier structures have been measured. The purpose of this study was to determine if polarization of the substrates influence the properties of the deposited a-Si:H films. At present with the EECS Department, University of Santa Clara, Santa Clara, California 95053. At present with the Eaton Corporation, Milwaukee, Wisconsin 53216.  相似文献   

16.
《Microelectronics Reliability》2014,54(11):2487-2493
The thermal stability of WTi and WTi(N) as diffusion barriers for Al and Cu metallization on Si (1 0 0) was investigated by time of flight secondary ion mass spectrometry (ToF-SIMS) depth profiling, X-ray diffraction (XRD), electron microscopy (SEM and TEM) and X-ray photoelectron spectroscopy (XPS). For both, Al and Cu, Ti diffusion out of WTi into the metal was proved to occur at elevated temperatures (400 °C for Al and 600 °C for Cu) which further results in barrier film failure. Nitrogen incorporation into WTi leads to an elimination of the Ti diffusion and consequently to a better thermal stability of the barrier film. It is shown that besides crystal structure, Ti diffusion into the metallization is an essential factor of the barrier failure mechanism. The failure temperature for Al is lower than for Cu.  相似文献   

17.
Carbon free TaNx films were deposited by plasma enhanced atomic layer deposition (PEALD) using a combination of pentakis(dimethylamino)Ta (PDMAT) and either N2 or NH3 plasma. Good linearity and saturation behavior were observed for the TaNx films grown with NH3 plasma while non-ideal saturation features were observed for the films grown with N2 plasma. The thermal stability of the TaNx films could be improved by reducing the pressure of the reactants and by increasing the plasma exposure time. The TaNx films deposited using N2 plasma exhibit better diffusion barrier properties than the films deposited using NH3 plasma.  相似文献   

18.
The forward and reverse current density-voltage (J-V) and capacitance-voltage (C-V) characteristics of pentacene/n-silicon heterojunction diodes were investigated to clarify the carrier conduction mechanism at the organic/inorganic heterojunction. Current rectification characteristics of the pentacene/n-Si junctions can be explained by a Schottky diode model with an interfacial layer. The diode parameters such as Schottky barrier height and ideality factor were estimated to be 0.79-1.0 eV and 2.4-2.7, respectively. The C-V analysis suggests that the depletion layer appears selectively in the n-Si layer with a thickness of 1.47 μm from the junction with zero bias and the diffusion potential was estimated at 0.30 eV at the open-circuit condition. The present heterojunction allows the photovoltaic operation with power conversion efficiencies up to 0.044% with a simulated solar light exposure of 100 mW/cm2.  相似文献   

19.
Using hydrofluoric acid (HF) as catalyst, nanoporous SiO2 thin film was synthesized by sol–gel method. By scanning electron microscopy, Fourier transform infrared spectra, thermo gravimetric and differential thermal analysis, ellipsometry, capacitance–voltage and current–voltage measurements, the effects of annealing on film properties were discussed in detail. The introduction of HF results in the less polarizability, the preferable microstructures and the improved thermal stability of the nanoporous silica films. After thermal annealing at 450 °C, the crack-free films with strong hydrophobicity, ultra-low dielectric constant of 1.65, porosity of 78%, and leakage current density of 1.3 × 10−8 A cm−2 were obtained.  相似文献   

20.
Cu contact on NiSi/Si with thin Ru/TaN barrier   总被引:1,自引:0,他引:1  
Thin Ru(5 nm)/TaN(15 nm) bi-layer was sputtered on the NiSi/Si substrate as a diffusion barrier in the copper contact structure. The barrier properties were investigated through X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), energy dispersive X-ray (EDX) and electrical measurement. The whole Cu/Ru/TaN/NiSi/Si structure has a good thermal stability until after annealing at 450 °C. The Schottky barrier measurement shows that the leakage current increases after 450 °C annealing and after 500 °C annealing the barrier fails. Failure mechanism of the barrier stack is discussed.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号