首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 609 毫秒
1.
Oxygen plasma and high pressure H2O vapor heat treatment were applied to fabrication of n-channel polycrystalline silicon thin film transistors (poly-Si TFTs). 13.56 MHz-oxygen-plasma treatment at 250 °C, 100 W for 5 min effectively reduced defect states of 25-nm-thick silicon films crystallized by 30 ns-pulsed XeCl excimer laser irradiation. 1.3×106-Pa-H2O vapor heat treatment at 260 °C for 3 h was carried out in order to improve electrical properties of SiOx gate insulators and SiOx/Si interfaces. A carrier mobility of 470 cm2/V s and a low threshold voltage of 1.8 V were achieved for TFTs fabricated with crystallization at 285 mJ/cm2. Received: 18 November 2002 / Accepted: 25 November 2002 / Published online: 11 April 2003 RID="*" ID="*"Corresponding author. Fax: +81-42/388-7109, E-mail: tsamesim@cc.tuat.ac.jp  相似文献   

2.
The carrier transport property of polycrystalline silicon (poly-Si:H:F) thin films was studied in relation to film microstructure, impurity, in situ or post-annealing treatments to obtain better carrier transport properties. Poly-Si:H:F films were prepared from SiF4 and H2 gas mixtures at temperatures <300 °C. Dark conductivity of the films prepared at high SiF4/H2 gas flow ratio (e.g., 60/3 sccm) exhibits a high value for intrinsic silicon and its Fermi level is located near the conduction band edge. The carrier incorporation is suppressed well, either by in situ hydrogen plasma treatment or by post-annealing with high-pressure hot-H2O vapor. It is confirmed that weak-bonded hydrogen atoms are removed by the hot-H2O vapor annealing. In addition, evident correlation between impurity concentrations and dark conductivity is not found for these films. It is thought that the carrier incorporation in the films prepared at high SiF4/H2 gas flow ratios is related to grain-boundary defects such as weak-bonded hydrogen. By applying hot-H2O vapor annealing at 310 °C to a 1-μm-thick p-doped (400)-oriented poly-Si:H:F film, Hall mobility was improved from 10 cm2/Vs to 17 cm2/Vs. Received: 7 August 2000 / Accepted: 2 March 2001 / Published online: 20 June 2001  相似文献   

3.
We measure surface recombination velocities (SRVs) below 10 cm/s on p‐type crystalline silicon wafers passivated by atomic–layer–deposited (ALD) aluminium oxide (Al2O3) films of thickness ≥10 nm. For films thinner than 10 nm the SRV increases with decreasing Al2O3 thickness. For ultrathin Al2O3 layers of 3.6 nm we still attain a SRV < 22 cm/s on 1.5 Ω cm p‐Si and an exceptionally low SRV of 1.8 cm/s on high‐resistivity (200 Ω cm) p‐Si. Ultrathin Al2O3 films are particularly relevant for the implementation into solar cells, as the deposition rate of the ALD process is extremely low compared to the frequently used plasma‐enhanced chemical vapour deposition of silicon nitride (SiNx). Our experiments on silicon wafers passivated with stacks composed of ultrathin Al2O3 and SiNx show that a substantially improved thermal stability during high‐temperature firing at 830 °C is obtained for the Al2O3/SiNx stacks compared to the single‐layer Al2O3 passivation. Al2O3/SiNx stacks are hence ideally suited for the implementation into industrial‐type silicon solar cells where the metal contacts are made by screen‐printing and high‐temperature firing of metal pastes. (© 2009 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

4.
Characteristics of silicon nitride (SiNx:H) films, grown by plasma enhanced chemical vapor deposition (PECVD) on various metals such as Ta, IrMn, NiFe, Cu, and CoFe at various temperatures down to 100 °C, were studied using measurements of BHF etch rate, surface roughness and Auger electron spectroscopy (AES). The results were compared with those obtained for SiNx:H films on Si. The deposition rate of SiNx:H films increased slightly as deposition temperature decreased, and showed a weak dependence on the underlying materials. The surface of the nitride films deposited on all underlying materials at lower temperatures (below 150 °C) became rougher. In particular, a bubble-like surface was observed on the nitride film deposited on NiFe at 100 °C. At higher deposition temperatures (above 200 °C), SiNx:H films on all the above metals had small RMS values, except for films on Cu which cracked at 250 °C. BHF (10:1) etch rate increased dramatically for nitride films deposited below 150 °C. For different underlying films, the BHF etch rate was quite different, but exhibited the same trend with decrease in deposition temperature. AES measurements showed that Si and N concentrations in the SiNx:H films were only slightly different for the various deposition temperatures and underlying materials. AES depth profile of nitride films indicated that both surface O content and the depth of oxygen penetrating into SiNx:H increased for low temperature-deposited films. However, there was no observed oxygen signal from within the films, even for films deposited at 100 °C, and both Si and N concentrations were uniform throughout the film. Received: 26 October 2001 / Accepted: 2 March 2001 / Published online: 20 June 2001  相似文献   

5.
SrBi2Ta2O9 (SBT) ferroelectric thin films with different preferred orientations were deposited by pulsed laser deposition (PLD). Several methods have been developed to control the preferred orientation of SBT thin films. For SBT films deposited directly on Pt/TiO2/SiO2/Si substrates and in situ crystallized at the deposition temperature, the substrate temperature has a significant impact on the orientation and the remnant polarization (Pr) of the films; a higher substrate temperature benefits the formation of (115) texture and larger grain size. The films deposited on Pt/TiO2/SiO2/Si substrates at 830 °C are (115)-oriented and exhibit 2Pr of 6 μC/cm2. (115)- and (200)-predominant films can be formed by using a La0.85Sr0.15CoO3 (LSCO) buffer layer or by annealing amorphous SBT films deposited on Pt/TiO2/SiO2/Si substrates at 450 °C using rapid thermal annealing (RTA). These films exhibit good electric properties; 2Pr of the films are up to 12 μC/cm2 and 17 μC/cm2, respectively. The much larger 2Pr of the films deposited on the LSCO buffer layer and of the films obtained by RTA than 2Pr of the films deposited on Pt/TiO2/SiO2/Si substrates at 830 °C is attributed to a stronger (200) texture. Received: 30 January 2001 / Accepted: 30 May 2001 / Published online: 25 July 2001  相似文献   

6.
We have studied the structural, electrical and optical properties of MOS devices, where the dielectric layer consists of a substoichiometric SiOx (x<2) thin film deposited by plasma-enhanced chemical vapor deposition. After deposition the samples were annealed at high temperature (>1000 °C) to induce the separation of the Si and the SiO2 phases with the formation of Si nanocrystals embedded in the insulating matrix. We observed at room temperature a quite intense electroluminescence (EL) signal with a peak at ∼850 nm. The EL peak position is very similar to that observed in photoluminescence in the very same device, demonstrating that the observed EL is due to electron–hole recombination in the Si nanocrystals and not to defects. The effects of the Si concentration in the SiOx layer and of the annealing temperature on the electrical and optical properties of these devices are also reported and discussed. In particular, it is shown that by increasing the Si content in the SiOx layer the operating voltage of the device decreases and the total efficiency of emission increases. These data are reported and their implications discussed. Received: 31 August 2001 / Accepted: 3 September 2001 / Published online: 17 October 2001  相似文献   

7.
We have investigated the phase separation and silicon nanocrystal (Si NC) formation in correlation with the optical properties of Si suboxide (SiOx, 0 < x < 2) films by thermal annealing in high vacuum. The SiOx films were deposited by plasma-enhanced chemical vapor deposition at different nitrous oxide/silane (N2O/SiH4) flow ratios. The as-deposited films show increased Si concentration with decreasing N2O/SiH4 flow ratio, while the deposition rate and surface roughness have strong correlations with the flow ratio in the N2O/SiH4 reaction. After thermal annealing at temperatures above 1000 °C, Fourier transform infrared spectroscopy, Raman spectroscopy, and transmission electron microscopy manifest the progressive phase separation and continuous growth of crystalline-Si (c-Si) NCs in the SiOx films with increasing annealing temperature. We observe a transition from multiple-peak to single peak of the strong red-range photoluminescence (PL) with increasing Si concentration and annealing temperature. The appearance of the single peak in the PL is closely related to the c-Si NC formation. The PL also redshifts from ∼1.9 to 1.4 eV with increasing Si concentration and annealing temperature (i.e., increasing NC size). The good agreements of the PL evolution with NC formation and the PL peak energy with NC size distribution support the quantum confinement model.  相似文献   

8.
We have used ion-beam mixing to form Si nano-crystals in SiO2 and SiO2/Si multilayers, and applied photoluminescence and soft-X-ray emission spectroscopy to study the nanoparticles. Ion-beam mixing followed by heat treatment at 1100 °C for 2 h forms the Si nanocrystals. The ion-beam-mixed sample shows higher PL intensity than that of a Si-implanted SiO2 film. Photon and electron-excited Si L2,3 X-ray emission measurements were carried out to confirm the formation of Si nanocrystal in SiO2 matrix after ion-beam mixing and heat treatment. It is found that Si L2,3 X-ray emission spectra of ion-beam-mixed Si monolayers in heat-treated SiO2 films lead to noticeable changes in the spectroscopic fine structure. Received: 20 November 1999 / Accepted: 17 April 2000 / Published online: 5 October 2000  相似文献   

9.
Monoenergetic positrons were used as a nondestructive probe for SiO2 films deposited on Si substrates by atmospheric-pressure chemical vapor deposition using tetraethylortho-silicate (TEOS, Si(OC2H5)4) and O3. The formation of positronium (Ps) in the SiO2 films was found from measurements of Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons. A clear correlation between the formation probability of Ps and the concentration of H2O in the SiO2 films was established.  相似文献   

10.
SiO x H y C z nanometric layers are deposited from hexamethyldisiloxane by atmospheric pressure microwave plasma torch on Si(100) substrates submitted to temperatures varying on the range [0 °C; 120 °C]. Atomic force microscopy (AFM) characterizations of samples grown at intermediate substrate temperatures (~30 °C) demonstrate a layer-by-layer growth (Frank van der Merwe growth) leading to smooth flat and compact films while films deposited at lower and higher substrates temperatures show an island-like growth (Volmer-Weber growth) generating a high surface roughness. Concomitantly, a detailed infrared spectroscopy analysis of the growing films evidences structural modifications due to changes in the bond types, Si-O-Si conformation and stoichiometry correlated with scanning electron microscopy and AFM characterizations. Then, deposition conditions and specific microstructure are selected with the aim of generating 3-dimensional SiO x H y C z nanostructure arrays on nanoindented Si (100) templates. The first results are discussed.  相似文献   

11.
A novel high-performance thermistor material based on Co-doped ZnO thin films is presented. The films were deposited by the pulsed laser deposition technique on Si (111) single-crystal substrates. The structural and electronic transport properties were correlated as a function of parameters such as substrate temperature and Co-doped content for Zn1?x Co x O (x=0.005,0.05,0.10 and 0.15) to prepare these films. The Zn1?x Co x O films were deposited at various substrate temperatures between 20 and 280 °C. A value of 20 %/K for the negative temperature coefficient of resistance (TCR) with a wide range near room temperature was obtained. It was found that both TCR vs. temperature behavior and TCR value were strongly affected by cobalt doping level and substrate temperature. In addition, a maximal TCR value of over 20 %?K?1 having a resistivity value of 3.6 Ω?cm was observed in a Zn0.9Co0.1O film near 260 °C, which was deposited at 120 °C and shown to be amorphous by X-ray diffraction. The result proved that the optimal Co concentration could help us to achieve giant TCR in Co-doped ZnO films. Meanwhile, the resistivities of the films ranged from 0.4 to 270 Ω?cm. A Co-doped ZnO/Si film is a strong candidate of thermometric materials for non-cooling and high-performance bolometric applications.  相似文献   

12.
Na2WO4 films have been grown on Si (1 0 0) and glass substrate using ultrasonic spray pyrolysis. The films are prepared from aqueous solution containing Na2WO4·2H2O at 475 °C temperature and characterized by XRD and SEM techniques and the chemical composition of the films have been verified by EDX and PIXE and its formula Na2WO4 is confirmed by XRD. The evolution of the crystallinity was studied as a function of film thickness ranging from 2500 to 4200 nm, which corresponds to a deposition time from 10 to 30 min, respectively. The crystalline quality was found to improve, where the grain size values increased with increasing thickness. Atomic Force Microscopy (AFM) was used to study the morphology evolution with the deposition time, where porous films were found due to the synthesis parameters, and a better sensing response to gases was developed with increasing thickness. Thus, this study demonstrates the possibility of utilizing Na2WO4 thick films as a sensor element for the detection of ethanol vapor at room temperature, where thicker films exhibit excellent ethanol vapor sensing properties with a maximum sensitivity at 25 °C in air atmosphere with fast response time.  相似文献   

13.
Significant enhancement of photoluminescence (PL) was attained for Er ions and Si nanocrystallites (nc-Si) in SiO2 films by two kinds of hydrogenation, using H2 molecules or H atoms. Er-doped SiO2 films containing Er impurities and a high density of nc-Si were fabricated by laser ablation of Er films deposited on Si substrate in an O2 gas atmosphere, followed by annealing at high temperatures in flowing Ar gas. Hydrogenation at 300–500 °C was found to effectively increase the PL intensity of Er ions as well as that of nc-Si. In particular, the hydrogen atom treatment dramatically shortens the hydrogenation time for the enhancement of Er PL compared to the hydrogen molecule treatment. Spectra of electron spin resonance showed a decrease in residual defects, namely, Pb-type defects located at the interfaces between nc-Si and SiO2 by hydrogenation. These results clearly show the effectiveness of hydrogen passivation for Si nanostructures; i.e., the increase in the Er PL and nc-Si PL due to hydrogen passivation of the nonradiative recombination centers located at the interfaces between nc-Si and SiO2. PACS 78.67.Bf; 71.20.Eh; 76.30.Mi; 81.15.Fg  相似文献   

14.
Si-based metal–ferroelectric–semiconductor (MFS) structures without buffer layers between Si and ferroelectric films have been developed by depositing SrBi2Ta2O9 (SBT) directly on n-type (100)-oriented Si. Some effective processes are adopted to improve the electrical properties of these MFS structures. Contrary to the conventional MFS structures with top electrodes directly on ferroelectrics, our MFS structures have been developed with thin dense SiO2 films deposited between ferroelectric films and top electrodes. Due to the SiO2 films, the leakage current densities of MFS structures are reduced to 2×10-8 A/cm2 under the bias of 5 V. The C-V electrical properties of the MFS structures are greatly improved after annealing at 400 °C in N2 ambient for 1 h. The C-V memory windows are increased to 3 V, which probably results from the decrease of the interface trap density at the Si/SBT interface. Received: 7 September 1999 / Accepted: 24 November 1999 / Published online: 2 August 2000  相似文献   

15.
Ferroelectric SrBi2Ta2O9 (SBT) films were grown by pulsed-laser deposition (PLD) at different substrate temperatures and fluences. A correlation between film structure and ferroelectric properties is established. The dielectric function ε of thin SBT films shows a Curie–Weiss behavior well below the peak temperature Tmax and relaxor-like behavior in the vicinity of Tmax. Domain walls have a strong influence on the dielectric and ferroelectric properties and on the polarization fatigue of SBT films below 100 °C. The formation of ferroelectric phases is favored at lower substrate temperatures by incorporating Bi2O3 template layers into the structure. Received: 18 March 1999 / Accepted: 19 March 1999 / Published online: 5 May 1999  相似文献   

16.
High-quality ZnO thin films were grown on single-crystalline Al2O3(0001) and amorphous SiO2/Si(100) substrates at 400–640 °C using laser molecular beam epitaxy. For film growth, the third harmonics of a pulsed Nd:YAG laser were illuminated on a ZnO target. The ZnO films were epitaxially grown on Al2O3(0001) with the narrow X-ray diffraction full width at half maximum (FWHM) of 0.04° and the films on SiO2/Si(100) exhibited a preferred c-axis orientation. Furthermore, the films exhibited excellent optical properties in photoluminescence (PL) measurements with very sharp excitonic and weak deep-level emission peaks. At 15 K, PL FWHM values of the films grown on Al2O3(0001) and SiO2/Si(100) were 3 and 18 meV, respectively. Received: 8 May 2001 / Accepted: 18 September 2001 / Published online: 20 December 2001  相似文献   

17.
S. K. Wu  J. J. Su  J. Y. Wang 《哲学杂志》2013,93(12):1209-1218
Silicon nitride (SiN) with a 50?nm thickness on Si(100) as a thermal barrier was obtained by plasma-enhanced chemical vapour deposition (PECVD). TiNi thin films were rf sputtered on a SiN/Si substrate and then annealed at 400–700°C for 30?min. Their interfacial reactions were studied using transmission electron microscopy, X-ray diffraction and Auger electron spectroscopy analyses. Experimental results show that the thickness of reaction layer in TiNi/SiN/Si specimens is clearly reduced, compared with that in TiNi/Si specimens under the same annealing conditions. The significant effect of the SiN layer as a diffusion barrier in TiNi/SiN/Si can be recognized. N and Si atoms diffuse from the SiN layer to react with TiNi films at 500°C and 600°C respectively. The TiN1 ? x phase is formed in specimens annealed at 500°C, and mixed Ti2Ni3Si and Ti4Ni2O compounds are found at 600°C. In the specimen annealed at 700°C, the reaction layer has sublayers in the sequence TiNi/Ti4Ni2O/Ti2Ni3Si/TiN1 ? x /SiN/Si. The SiN thermal barrier obtained by PECVD caused quite different diffusion species to cross the interfaces between TiNi/SiN/Si and TiNi/Si specimens during the annealing.  相似文献   

18.
We report on the deposition of SrBi2Nb2O9 and Sr1-xNaxBi2-xTexNb2O9 ferroelectric thin films on Pt/TiO2/SiO2/(100)Si substrates using the pulsed laser deposition technique. Deposition on substrates heated to 600-700 °C produces {11l} film texture and dense films with grain sizes up to about 500 nm. The recrystallization at 700 °C of amorphous films deposited at lower temperatures enhances the contribution of the {100} and {010} orientations. These films show smaller grain size, namely 50-100 nm. {11l}-oriented Sr1-xNaxBi2-xTexNb2O9 films have remnant polarization Prۆ 7C/cm2, a coercive field Ec䏐 kV/cm and dielectric constant, )𪓴. The low value of Pr is probably related to the low fraction of grains with the ferroelectric axis in the direction of the applied field, E. The recrystallized films have more grains with the ferroelectric axis parallel to E; however, they have a low resistivity which so far has prevented electrical characterization.  相似文献   

19.
A WF6–H2–N2 precursor system was used for plasma-enhanced chemical vapor deposition (PECVD) of WNx films. We examined the microstructural changes of the WNx films depending on N2/H2 flow-rate ratio and post-annealing (600–800 °C for 1 h). As the N2/H2 flow rate was increased from 0 to 1.5, as-deposited WNx films exhibited various different crystalline states, such as nanocrystalline and/or amorphous structure comprising W, WN, and W2N phases, a fine W2N granular structure embedded in an amorphous matrix, and a crystalline structure of β-W2N phase. After post-annealing above 600 °C, crystalline recovery with phase separation to β-W2N and α-W was observed from the WNx films deposited at an optimized deposition condition (flow-rate ratio = 0.25). From this PECVD method, an excellent step coverage of ∼90% was obtained from the WNx films at a contact diameter of 0.4 μm and an aspect ratio of 3.5.  相似文献   

20.
《Solid State Ionics》2004,166(1-2):19-25
Mesoporous composites made of silica and α-zirconium phosphate (SiO2·xZrP) were synthesized starting from mixtures of delaminated ZrP dispersions and tetrapropylammonium oligosilicate solutions. The surface area of the composites reaches a maximum of 700 m2/g for x≈0.02, while the average pore diameter is about 40 Å for x in the range 0.05–0.35. In order to increase proton conductivity at low relative humidity (r.h.), SiO2·xZrP·yH3PO4 composites were prepared and characterised by 29Si and 31P MAS NMR and conductivity measurements. At 100 °C and 6% r.h., the conductivity of the composites, with H3PO4 loadings of 80% of the pore volume, rises from 5×10−4 to 2×10−2 S/cm for x decreasing between 0.35 and 0.05, as a consequence of the concomitant increase of pore volume. For the composite with x=0.18, the dependence of conductivity on H3PO4 loading was also investigated at different temperatures and r.h. values. The combined increase of humidity, temperature and H3PO4 loading results in an increase of conductivity from 1×10−7 S/cm (y=0.09, T=25 °C, 0% r.h.) to 4×10−2 S/cm (y=0.61, T=100 °C, 30% r.h.). SiO2·0.18ZrP·0.61H3PO4 was also tested as a proton electrolyte in an oxygen sensor consisting of a disk of the composite sandwiched between a platinum sensing electrode and a reference electrode based on Ni1−xO. The sensor is able to detect O2 at room temperature in a dry environment with a response time of 20–30 s.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号