首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The efficiency of energy dissipation caused by the mechanism of turbulent plasma heating from a tube-like electron beam in a electronegative gas is measured calorimetrically. Amorphous Si-layers are deposited from a SiH4/Ar discharge both on conducting and nonconducting substrates and are analyzed by means of Auger-spectrometry. The velocity and specific energy of etching of SiO2-layers in a CF4-plasma are determined. Measured etching velocities of tungsten surfaces biased differently against the space potential provide information on the mechanism of plasma etching in a SF6-plasma. Simultaneously performed Langmuir-probe measurements permit predictions to be made on the properties of the etching plasma.  相似文献   

2.
王长顺  潘煦  Urisu Tsuneo 《物理学报》2006,55(11):6163-6167
利用热氧化法在硅晶片上生长SiO2薄膜,结合光刻和磁控溅射技术在SiO2薄膜表面制备接触型钴掩模,通过掩模方法在硅表面开展了同步辐射光激励的表面刻蚀研究,在室温下制备了SiO2薄膜的刻蚀图样.实验结果表明:在同步辐射光照射下,通入SF6气体可以有效地对SiO2薄膜进行各向异性刻蚀,并在一定的气压范围内,刻蚀率随SF6气体浓度的增加而增加,随样品温度的下降而升高;如果在同步辐射光照射下,用SF6和O2的混合气体作为反应气体,刻蚀过程将停止在SiO2/Si界面,即不对硅刻蚀,实现了同步辐射对硅和二氧化硅两种材料的选择性刻蚀;另外,钴表现出强的抗刻蚀能力,是一种理想的同步辐射光掩模材料. 关键词: 同步辐射刻蚀 接触型钴掩模 二氧化硅薄膜  相似文献   

3.
CH4/H2-based discharges are attractive for dry etching of single crystal ZnO because of their non-corrosive nature. We show that substitution of C2H6 for CH4 increases the ZnO etch rate by approximately a factor of 2 both with and without any inert gas additive. The C2H6/H2/Ar mixture provides a strong enhancement over pure Ar sputtering, in sharp contrast to the case of CH4/H2/Ar. The threshold ion energy for initiating etching is 42.4 eV for C2H6/H2/Ar and 59.8 eV for CH4/H2/Ar. The etched surface morphologies were smooth, independent of the chemistry and the Zn/O ratio in the near-surface region was unchanged within experimental error after etching with both chemistries. The plasma etching improved the band-edge photoluminescence intensity and suppressed the deep level emission from the bulk ZnO under our conditions, due possibly to removal of surface contamination layer.  相似文献   

4.
Reactive ion etching (RIE) and plasma etching (PE) of different materials (GaAs, Si3N4 SiO2 and photoresist Microposit 1350 H) in freon 116 are compared in the present article. The importance of ion bombardment for the etching rate is evident from the experimental results. GaAs is etched only by RIE due to ion milling, the etching rates of Si3N4 and SiO2 are 4 to 5 times higher by RIE than PE.  相似文献   

5.
《Applied Surface Science》2001,169(1-2):27-33
Several different plasma chemistries were investigated for dry etching of TiO2 thin films. Fluorine-based discharges produced the fastest etch rates (∼2000 Å min−1) and selectivities >1 for Si over TiO2. Chlorine-based discharges also showed a chemical enhancement over pure Ar sputtering and had selectivities <1 for Si over TiO2 for a range of plasma conditions. Methane–hydrogen discharges produced very slow etch rates, below those obtained with Ar sputtering. The etched surface morphologies of TiO2 were excellent in all three types of plasma chemistry. Small concentrations (2 at.%) of chlorine- or fluorine-containing residues were identified on the TiO2 surface after Cl2/Ar or SF6/Ar etching, but these residues were water soluble.  相似文献   

6.
60 MHz pulsed radio frequency (rf) source power and 2 MHz continuous wave rf bias power, were used for SiO2 etching masked with an amorphous carbon layer (ACL) in an Ar/C4F8/O2 gas mixture, and the effects of the frequency and duty ratio of the 60 MHz pulse rf power on the SiO2 etch characteristics were investigated. With decreasing duty ratio of the 60 MHz pulse rf power, not only the etch rate of SiO2 but also the etch rate of ACL was decreased, however, the etch selectivity of SiO2 over ACL was improved with decreasing the duty ratio. On the other hand, when the pulse frequency was varied at a constant duty ratio, no significant change in the etch rate and etch selectivity of both materials could be observed. The variation of the etch characteristics was believed to be related to the change in the gas dissociation characteristics caused by the change in the average electron temperature for different pulsing conditions. The improvement in the etch selectivity with the decrease of duty ratio, therefore, was related to the decreased gas dissociation of C4F8 by the decrease of average electron temperature and, which resulted in a change in composition of the fluorocarbon polymer on the etched materials surface from C–C rich to CF2 rich. With decreasing the duty ratio, not only the etch selectivity but also the improvement in the SiO2 etch profile could be observed.  相似文献   

7.
The apparatus for measurements of probe characteristic and its second derivative during plasma assisted thin film deposition is described. To avoid the probe surface contamination an impulse method combined with ion bombardment of the probe surface was used. Experimental evidence of the effectiveness of this method in the discharge fed with Ar/C2F3Cl mixtures is given. Measurements of the second derivative of the probe current have indicated an electron distribution different from the Maxwellian. Negative ions have been found in the discharge, the concentration of which has been estimated to be at most by one order of magnitude higher than the electron density.  相似文献   

8.
石英微透镜阵列的制作研究   总被引:2,自引:1,他引:1  
张新宇  刘鲁勤 《光子学报》1997,26(8):710-714
叙述了采用氩离子束刻蚀的方法制作线列长方形拱面石英微透镜阵列.所制单元石英微透镜底部的外形尺寸为(300×106)um2,平均冠高7.07μm,平均曲率半径202.19μm,平均焦距404.38μm,平均F2数为3.82,平均光焦度2.47×103屈光度,扫描电子显微镜和表面探针测试表明,所制线列石英微透镜阵列的图形整齐均匀,单元长方形拱面石英微透镜的轮廓清晰,表面光滑平整.所制微透镜阵列用于高Tc超导红外探测器阵列的实验证实,微透镜的引入可以显著改善超导探测器的光响应特性.  相似文献   

9.
The surface fluorination of polycarbonate bisphenol‐A thin films was carried out by treatment with plasma of sulfur hexafluoride/argon (SF6/Ar) using a radio frequency (RF) discharges. Effects of treatment time and SF6 concentration have been studied. Surface characterization was performed using X‐ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy with attenuated total reflectance (FT‐IR/ATR), atomic force microscopy (AFM) and contact angle measurements. The effects of the surface modification on the carbon dioxide (CO2) gas permeation of the fluorinated films were evaluated. The results showed effective fluorination of all samples, with C‐F, C‐F2 and even C‐F3 groups attached to the polycarbonate, depending on the treatment conditions. The presence of incorporated fluorine molecules absorbed into the polymer structure during treatment was also shown. The polymer films showed an increase of their surface roughness and a decrease in their surface tension due to the plasma treatment. Plasma fluorination also decreases polycarbonate CO2 permeability considerably. The films treated with the lower concentration of SF6 showed the highest barrier values; however, significant differences between the permeability values for the samples treated for different times were not observed.  相似文献   

10.
The dry etching characteristics of bulk, single-crystal zinc-oxide (ZnO) and rf-sputtered indium-zinc-oxide (IZO) films have been investigated using an inductively coupled high-density plasma with different plasma chemistries. The introduction of interhalogens such as ICl, IBr, BI3, and BBr3 to the Ar plasma produced no enhancement of the ZnO and IZO etch rates with respect to physical sputtering in a pure argon atmosphere under the same experimental conditions. In these plasma chemistries, the etch rate of both materials increased with source power and ion energy, indicating that ion bombardment plays an important role in enhancing desorption of etch products. Except in Ar/CH4/H2 discharges, the ZnO etch rate was very similar to that of IZO, which indicates that zinc and indium atoms are driven by a similar plasma etching dynamic. CH4/H2-containing plasmas produced higher etch rates for IZO than for ZnO due to the preferential desorption of the group III etch products. Application of the CH4/H2/Ar plasma to the etching of deep features in bulk, single-crystal ZnO produced highly anisotropic profiles although some trenches were observed near the sidewalls.  相似文献   

11.
The behavior of the desorbing F+ ion current from electron bombarded CCl2F2, C2H2F2 and C2F6 adsorbed on tungsten has been used to investigate the processes of adsorption and desorption of these gases. For tungsten near room temperature, measurements of the F+ ion current as a function of electron bombardment time indicated very similar or even identical F+-yielding adsorbed species resulting from adsorption of either CCl2F2 or C2H2F2 and widely different species from C2F6. Cl+ ions were also observed to desorb from CCl2F2 ad-layers. The behavior of the Cl+ ion current with time during electron bombardment indicated electronic conversion between adsorbed binding modes. Complementary investigations on the interaction of CCl2F2, C2H2F2 and C2F6 with tungsten were carried out by thermal desorption experiments in which the F+ ion signal was used to observe the coverage decrease of the F+-yielding species. The experiments were performed at tungsten temperatures in the 1200–1600 K range. It was concluded that the F+-yielding adsorbed species from CCl2F2 and C2H2F2 were strongly bound to the tungsten surface. The F+-yielding species from C2F6 were found to be weakly bound. From a comparison of the ESD and thermal desorption results, the possibility of dissociative adsorption as well as the nature of the adsorbed species is discussed.  相似文献   

12.
The black silicon has been produced by plasma immersion ion implantation (PIII) process. The microstructure and optical reflectance are characterized by field emission scanning electron microscope and spectrophotometer. Results show that the black silicon appears porous or needle-like microstructure with the average reflectance of 4.87% and 2.12%, respectively. The surface state is investigated by X-ray photoelectron spectroscopy (XPS) technique. The surface of the black silicon is composed of silicon, carbon, oxygen and fluorine element. The formation of SixOyFz in the surface of black silicon can be proved clearly by the O 1s, F 1s and Si 2p XPS spectra. The formation mechanism of the black silicon produced by PIII process can be obtained from XPS results. The porous or needle-like structure of the black silicon will be formed under the competition of SFx+ (x  5) and F+ ions etching effect, SixOyFz passivation and ion bombardment.  相似文献   

13.
X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM) have been used to investigate the effect of reactive ion etching (RIE) on poly(methylhydrogensiloxane-co-dimethylsiloxane) surface in fluorine-based plasmas. Polysiloxane layers supported on the standard silicon wafers were etched using SF6 + O2 or CF4 + O2 plasmas. SEM studies show that the polysiloxane morphology depends on plasma chemical composition strongly. Presence of a columnar layer likely covered with a fluorine rich compound was found on the elastomer surface after the CF4 + O2 plasma exposure. After the SF6 + O2 or CF4 + O2 plasma treatment the polysiloxane surface enriches with fluorine or with fluorine and aluminum, respectively. Different morphologies and surface chemical compositions of the silicone elastomer etched in both plasmas indicate different etching mechanisms.  相似文献   

14.
The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl2-based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl2/Ar plasma chemistry and SiO2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry.  相似文献   

15.
Some selective cold plasma processing modify specific surface properties of textile polymeric materials such as their dyeability, wettability and hydrorepellence. To correlate the sample surface changes with the acquired surface properties allows one to obtain information on the chemical and physical processing involved in plasma treatment. In this work, atomic force microscopy (AFM) has been applied to investigate the morphological and topographical surface modifications induced by RF cold plasma processing of poly(ethyleneterephthalate) (PET) fabrics. Rms surface roughness and surface area of the samples are measured before and after the treatments. The morphology changes have been analysed as a function of the treatment time and air gas pressure. Measurements have been performed also using plasmas produced by different gases such as He, Ar, SF6 and CF4. The PET shows different behaviour with different gas plasmas. In the case of air, He and Ar gases the sample surface modifications seem to be mainly due to etching effects, while the fluorine atoms grafting probably is responsible for surface rearrangement process using SF6 and CF4 gases. As a consequence different surface properties are produced in the plasma treated samples. Article presented at the International Conference on the Frontiers of Plasma Physics and Technology, 9–14 December 2002, Bangalore, India.  相似文献   

16.
This article aims to obtain structural and compositional characteristics of a crystalline silicon surface irradiated by femtosecond laser pulses in SF6, N2, air, and vacuum background atmospheres by performing transmission electron microscopy observation of ??110?? cross-sectional specimens. Conical microstructures covered with defective outer layers were formed in SF6 gas. The elemental sulfur dopants in the surface microstructure, which located in close proximity to defects, were mainly concentrated at the tip region of the microcones, and about several hundred nanometers thick. In N2 atmosphere, the defects produced regularly on the silicon surface were of the same types with those formed in SF6 gas and confirmed to be stacking faults and overlapped twins. Furthermore, silicon crystalline grains with different orientations were observed on the silicon surface irradiated in N2, air, and vacuum atmospheres. Especially, ??-Si3N4 crystalline grains were found to be formed in N2 and air as chemical products when elemental nitrogen exists, and the SiO2 amorphous phase was formed in air by the oxidation effect. Based on these experimental results, the relevant interaction mechanisms between pulsed laser and crystalline silicon were suggested to be mainly attributed to laser-assisted chemical etching and laser ablation, i.e., if volatile silicon compounds can be produced in a reactive gas atmosphere (e.g., SF6), the strong laser-assisted chemical etching dominates over the laser irradiation process. Otherwise, laser ablation is the dominant mechanism such as in N2, air, and vacuum.  相似文献   

17.
The surface of poly(methyl methacrylate) (PMMA) was treated by plasma with an end-Hall ion source in vacuum in order to enhance its anti-reflectivity. The cone-shaped bumps induced by the plasma etching have shown an antireflective effect. Moreover, PMMA has poor thermal durability due to its low melting point; therefore, the etched PMMA was further coated by a 5 nm thick SiO2 film after 900 and 1300 s plasma etching. Samples after SiO2 coating were thermally annealed at temperature of 70°C for 1 h. Experiments show that transmittance was increased after 5 nm thick SiO2 coating. The of transmittance of PMMA after both sides treated by 900 s plasma etching and 5 nm SiO2 coating was not changed after thermal annealing. However, without SiO2 coating the transmission was reduced 1% after annealing. Atomic force microscope (AFM) demonstrated that the nano-structures of cone-shaped bumps were formed on the PMMA after plasma etching and a smoother nano-structured pattern preserved the transmittance of the PMMA after both sides treated by 900 s plasma etching and 5 nm SiO2 coating. Three dimensional photonic crystal formed by uniformly distributed cone-shaped bumps was assumed to result in the reduction of the anti-reflectivity of treated PMMA.  相似文献   

18.
邹帅  唐中华  吉亮亮  苏晓东  辛煜 《物理学报》2012,61(7):75204-075204
本文首先利用悬浮型微波共振探针测量了Ar等离子体的电子密度,并与朗缪尔双探针的测量结果进行了比较,表明了微波共振探针在低密度等离子体测量的可行性.对40.68 MHz单射频容性耦合Ar/SF6和SF6/O2等离子体的测量结果表明:电负性气体SF6掺入Ar等离子体显著降低了等离子体电子密度,但随着增加SF6的流量,电子密度表现为缓慢下降;而O2掺入SF6等离子体中,电子密度则随着O2流量的增加表现为持续的下降.另外,40.68 MHz/13.56 MHz双频激发的SF6/O2容性耦合离子体的电子密度并不随低频功率的变化而变化.本文对上述的实验现象进行了初步的解释.  相似文献   

19.
韩亮  宁涛  刘德连  何亮 《物理学报》2012,61(17):176801-176801
利用磁过滤真空阴极电弧技术制备了sp3键大于80%的四面体非晶碳(ta-C)薄膜, 通过冷阴极离子源产生keV能量的氩离子轰击ta-C薄膜,研究了氩离子轰击能量对ta-C薄膜结构, 内应力以及耐磨性的影响.通过X射线光电子能谱和原子力显微镜研究了氩离子轰击对薄膜结构 与表面形貌的改性,研究表明,氩离子轰击诱导了ta-C薄膜中sp3键向sp2键的转化, 并且随着氩离子轰击能量的增大,薄膜中sp2键的含量逐渐增多, 薄膜内应力随着氩离子轰击能量的增大逐渐减小.氩离子轰击对薄膜的表面形貌有较大影响, 在薄膜表面形成刻蚀坑,并且改变了薄膜的表面粗糙度,随着氩离子轰击能量的增大, 薄膜的表面粗糙度也会逐渐增大.通过摩擦磨损仪的测试结果,氩离子轰击对薄膜的初始摩擦系数影响较大, 但是对薄膜的稳定摩擦系数影响较小,经过氩离子轰击前后的ta-C薄膜的摩擦系数为0.1左右, 并且具有优异的耐磨性.  相似文献   

20.
This paper reports a study of reactive ion etching (RIE) of n-ZnO in H2/CH4 and H2/CH4/Ar gas mixtures. Variables in the experiment were gas flow ratios, radio-frequency (rf) plasma power, and total pressure. Structural and electrical parameters of the etched surfaces and films were determined. Both the highest surface roughness and highest etching rate of ZnO films were obtained with a maximum rf power of 300 W, but at different gas flow ratios and working pressures. These results were expected because increasing the rf power increased the bond-breaking efficiency of ZnO. The highest degree of surface roughness was a result of pure physical etching by H2 gas without mixed CH4 gas. The highest etching rate was obtained from physical etching of H2/Ar species associated with chemical reaction of CH4 species. Additionally, the H2/CH4/Ar plasma treatment drastically decreased the specific contact and sheet resistance of the ZnO films. These results indicated that etching the ZnO film had roughened the surface and reduced its resistivity to ohmic contact, supporting the application of a roughened transparent contact layer (TCL) in light-emitting diodes (LEDs).  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号