首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
We characterized the crystallization and luminescence of blue-emitting BaAl2S4 : Eu electroluminescent thin films, prepared using switching electron-beam evaporation with two targets. From the photoluminescence intensity and decay profile of the activated Eu2+ ions in the BaAl2S4 : Eu, we found that the optimum annealing conditions for preparing highly luminescent thin films are a temperature of around 900°C and an annealing time of 2 min. We analyzed the crystalline properties using cross-sectional transmission electron microscope images. Evaluation of the cathodoluminescence spectra in the cross-sections showed that the BaAl2S4 : Eu emitting layer was luminously inhomogeneous on the depth of the layer and that the main luminescent area was near the surface of the emitting layer. We discuss here the relationship between the crystalline and luminescent properties.  相似文献   

2.
We reported an approach, in which we have produced the nano-sized crystalline tin oxide (SnO2) particles with rutile structure. SnO2 nanowires were coated with a shell layer of SiOx via a sputtering method. Transmission electron microscopy and elemental mapping investigations revealed that the nanostructures consisted of a crystalline SnO2 core surrounded by an amorphous SiOx sheath. The annealing effects on the core-shell nanowires were investigated, revealing that the outer surface became rougher by the thermal annealing. For core-shell nanowires, a room-temperature PL measurement with a Gaussian fitting showed yellow, blue, and violet light emission bands, with the relative intensity of the yellow band showing an increase after thermal annealing. Possible PL emission mechanisms are discussed. This study reveals that the sputtering is effective for preparing the shell layers of nanocables.  相似文献   

3.
The off-diagonal magnetoimpedance in field-annealed CoFeSiB amorphous ribbons was measured using a pick-up coil wound around the sample. One side of a ribbon was etched in hydrofluoric acid solution during various times in order to change the thickness of the surface crystalline layer appearing after annealing. The asymmetric two-peak field dependence of the off-diagonal impedance was observed for all samples. The evolution of the off-diagonal magnetoimpedance with the change in the ribbon thickness is analyzed.  相似文献   

4.
The electrical activation of boron implanted in crystalline and preamorphized silicon has been investigated during rapid thermal annealing performed with halogen lamps. Samples implanted with B+ fluences ranging between 5×1014 and 1×1016cm−2 and treated at temperatures between 900°C and 1100°C have been examined. When boron is implanted in crystalline Si, activation proceeds slowly atT<1000°C and cannot be completed in times typical of rapid thermal annealing (a few tens of seconds). The analysis of carrier profiles indicates that the time constant for activation is strongly affected by local damage and dopant concentration. If the total boron concentration exceeds equilibrium solubility, precipitation occurs concomitant to activation, even if the substitutional boron fraction is still lower than equilibrium solubility. ForT≧1000°C complete activation is obtained in times of about 10 s. In the case of preamorphized Si the activation occurs very quickly, during the recrystallization of the amorphous layer, for all the examined temperatures.  相似文献   

5.
任凡  郝智彪  胡健楠  张辰  罗毅 《中国物理 B》2010,19(11):117101-117101
In this paper,the effects of thickness of AlN nucleation layer grown at high temperature on AlN epi-layer crystalline quality are investigated.Crack-free AlN samples with various nucleation thicknesses are grown on sapphire substrates by plasma-assisted molecular beam epitaxy.The AlN crystalline quality is analysed by transmission electron microscope and x-ray diffraction (XRD) rocking curves in both (002) and (102) planes.The surface profiles of nucleation layer with different thicknesses after in-situ annealing are also analysed by atomic force microscope.A critical nucleation thickness for realising high quality AlN films is found.When the nucleation thickness is above a certain value,the (102) XRD full width at half maximum (FWHM) of AlN bulk increases with nucleation thickness increasing,whereas the (002) XRD FWHM shows an opposite trend.These phenomena can be attributed to the characteristics of nucleation islands and the evolution of crystal grains during AlN main layer growth.  相似文献   

6.
In0.82Ga0.18As epilayers were grown on InP substrates using a two-step growth technique by LP-MOCVD. A homogeneous low-temperature (450 °C) In0.82Ga0.18As buffer layer was introduced to improve the crystalline quality of epilayers. The influence of low-temperature buffer layer deposition condition, such as thermal annealing duration, on the crystalline quality of the In0.82Ga0.18As epilayer was investigated. Double-crystal X-ray diffraction measurement, Hall measurement, and Raman scattering spectrum were used to evaluate the In0.82Ga0.18As epilayers. Atomic force microscope was used to study the surface morphology. It is found that the In0.82Ga0.18As epilayer, with buffer layer thermal annealing for 5 min, exhibits the best crystalline quality. The change of the surface morphology of the buffer layer after thermal annealing treatment was suggested to explain the phenomenon.  相似文献   

7.
Thermal annealing effects on a thin compositionally graded SiGe buffer layer on silicon substrate fabricated by oxidizing a strained SiGe layer are investigated with X-ray diffraction, ultraviolet Raman spectra and atomic force microscopy. Interestingly, we found that the surface roughness and the threading dislocation densities are kept low during the whole annealing processes, while the Ge concentration at the oxidizing interface decreases exponentially with annealing time and the strain in the layer is only relaxed about 66% even at 1000 °C for 180 min. We realized that the strain relaxation of such a compositionally graded SiGe buffer layer is dominated by Si-Ge intermixing, rather than generation and propagation of misfit dislocations or surface undulation.  相似文献   

8.
High resolution photoemission measurements performed at low temperatures on a single-grained sample of the AlPdMn icosahedral phase show that the density of states N(E) strongly depends on the nature of the surface. For an ordered quasicrystalline surface, prepared by Ar etching and ultra high vacuum annealing, a dip feature is observed in N(E) near the Fermi level, which energy dependence can be analyzed with a simple square-root power law. By contrast, N(E) varies only little with energy both for a disordered surface and a crystalline surface of the same sample. A sharp Fermi edge is then clearly observed. This shows that the metallic character of the surface of a quasicrystal is strongly reduced when the surface presents a quasicrystalline ordering. Received 19 February 2000 and Received in final form 6 November 2000  相似文献   

9.
This paper reports the formation of Ge nanoclusters in a multi-layer structure consisting of alternating thin films of Ge-doped silica glass and SiGe, deposited by plasma-enhanced chemical vapor deposition (PECVD) and post annealed at 1100 °C in N2 atmosphere. We studied the annealed samples by transmission electron microscopy (TEM) and Raman spectroscopy. As-deposited and annealed samples were analyzed by secondary ion mass spectroscopy (SIMS). TEM investigation shows that Ge nanoclusters were formed in the as-deposited SiGe layer and the SiGe layer was transformed into a silicon dioxide layer embedded with Ge nanoclusters after annealing. These nanoclusters are crystalline and varied in size. There were no clusters in the Ge-doped glass layer. Raman spectra verified the existence of crystalline Ge clusters. The positional shift of the Ge vibrational peak with the change of the focus depth indicates that the distribution of the stress applied to the Ge clusters varies with depth. SIMS measurements show clearly the dramatic O increase in the as-deposited SiGe layer after annealing. The creation of Ge nanoclusters by the combination of PECVD and annealing makes possible the application in complicated waveguide components. PACS 81.07.Bc; 78.66.Jg; 42.65.Wi  相似文献   

10.
Carbon ions at 40 keV were implanted into (1 0 0) high-purity p-type silicon wafers at 400 °C to a fluence of 6.5 × 1017 ions/cm2. Subsequent thermal annealing of the implanted samples was performed in a diffusion furnace at atmospheric pressure with inert nitrogen ambient at 1100 °C. Time-of-flight energy elastic recoil detection analysis (ToF-E ERDA) was used to investigate depth distributions of the implanted ions. Infrared transmittance (IR) and Raman scattering measurements were used to characterize the formation of SiC in the implanted Si substrate. X-ray diffraction analysis (XRD) was used to characterize the crystalline quality in the surface layer of the sample. The formation of 3C-SiC and its crystalline structure obtained from the above mentioned techniques was finally confirmed by transmission electron microscopy (TEM). The results show that 3C-SiC is directly formed during implantation, and that the subsequent high-temperature annealing enhances the quality of the poly-crystalline SiC.  相似文献   

11.
Heterotelechelic deuteropolystyrenes have been synthesised with a tertiary amine functionality at one end and a fluorocarbon group at the other end of the polymer chain. A layer of this polymer, circa 120 ? thick, has been attached to the surface of a silicon substrate and subsequently covered with a much thicker layer of hydrogenous polystyrene. The combination has then been annealed at 413 K under vacuum for defined times and the subsequent distribution of the deutero heterotelechelic polymer determined using nuclear reaction analysis and neutron reflectometry. The influences of annealing time, molecular weight and thickness of the hydrogenous polymer have been examined. Nuclear reaction analysis showed that an excess of the heterotelechelic polymer formed at both interfaces with a larger excess remaining at the substrate-polymer interface. When the molecular weight of the hydrogenous polymer is lower than that of the deuteropolymer, the deutero layer is initially swollen by the hydrogenous polymer but the thickness then decreases as deutero polymer becomes detached from the silicon substrate and an additional excess layer is eventually formed at the vacuum-polymer surface. When the molecular weight of the hydrogenous polymer is higher, there is an initial shrinkage of the deuteropolymer layer, but the original thickness (∼ radius of gyration of the deuteropolymer) is regained on prolonged annealing. There is no evidence for bridging between the two interfaces by the heterotelechelic polymer. After five days annealing the volume fraction distribution of the deuteropolymer at the silicon substrate was well described by a self-consistent field model where the only adjustable parameter was the sticking energy of the tertiary amine group to the silicon substrate for which a value of 8k B T was obtained. Comparison of the dependence of the equilibrium layer thickness of the deuteropolymer on the equilibrium grafting density at the silicon surface with the predictions of scaling theory for brush-like polymer layers suggested that the grafted molecules were in the ideal, unperturbed brush region. Received 12 October 2000 and Received in final form 27 March 2001  相似文献   

12.
Crystalline quartz films with an AT-cut plane have been grown by catalyst-enhanced vapor-phase epitaxy, at atmospheric pressure, using two quartz buffer layers on a sapphire (110) substrate. In this method, the first quartz buffer layer was deposited on the sapphire (110) substrate at 773 K. After annealing at 823 K, the second buffer layer was deposited at 723 K. The crystal quartz epitaxial layer was then grown at 843 K. The X-ray full-width-at-half-maximum (FWHM) value of the crystalline quartz film obtained was smaller than that of crystalline quartz prepared using a hydrothermal process. The crystalline quality of the quartz films was dependent on the thickness of the buffer layers. Furthermore, it was found that angle control of the cut plane depended on the film thickness of the second buffer layer. The quartz films grown by vapor phase epitaxy show good oscillation characteristics at room temperature.  相似文献   

13.
Phase transformation of thin film (∼30 nm)In2Se3/Si(111) (amorphous→crystalline) was performed by resistive annealing and the reverse transformation (crystalline→amorphous) was performed by nanosecond laser annealing. As an intrinsic-vacancy, binary chalcogenide semiconductor, In2Se3 is of interest for non-volatile phase-change memory. Amorphous In x Se y was deposited at room temperature on Si(111) after pre-deposition of a crystalline In2Se3 buffer layer (0.64 nm). Upon resistive annealing to 380°C, the film was transformed into a γ-In2Se3 single crystal with its {0001} planes parallel to the Si(111) substrate and parallel to Si , as evidenced by scanning tunneling microscopy, low energy electron diffraction, and X-ray diffraction. Laser annealing with 20-ns pulses (0.1 millijoules/pulse, fluence≤50 mJ/cm2) re-amorphized the region exposed to the laser beam, as observed with photoemission electron microscopy (PEEM). The amorphous phase in PEEM appears dark, likely due to abundant defect levels inhibiting electron emission from the amorphous In x Se y film.  相似文献   

14.
Single-crystalline silicon is implanted by magnesium ions at room temperature and then subjected to pulsed ion-beam annealing. The surface morphology, crystallinity, and optical properties of the implanted silicon are studied before and after annealing. It is shown that ion implantation makes a near-surface layer of silicon about 0.1 m thick amorphous. Pulsed nanosecond ion-beam annealing results in silicon recrystallization and the formation of crystalline magnesium silicide precipitates. Optimal values of the implantation dose and pulse energy density for the formation of magnesium silicide precipitates in the near-surface layer of silicon are found.  相似文献   

15.
Er-Si-O crystalline compounds, which exhibit superlattice structures and sharp and strong Er-related 1.54 μm photoluminescence (PL) spectra at room temperature have been formed by self-assembling growth mechanism. Oxidation of the starting materials which have Si and Er at an atomic ratio of 2:1 are prepared and then oxidation and succeeding high-temperature annealing in Ar above 1250 °C cause a self-assembled superlattice-structured Er-Si-O crystalline compounds. The control of the ratio of Si and Er, as well as the following oxidation and annealing processes, is found to be sensitive to the crystalline properties, PL spectra and electrical properties. In this study, Er-Si-O crystalline thin films are formed on Si substrates by sol-gel and MOMBE methods, and their crystalline properties such as crystalline orientation and concentration ratio of Er, Si and O are investigated. Crystalline Er-Si-O films of high orientation are successfully grown on Si(1 0 0) and its inclined surface. The PL and excitation spectra, fluorescence decay and the electrical properties are found to be strongly related to the crystalline properties. Excess O causes a broader 1.54 μm PL spectra, slower fluorescence decay, lower carrier-mediated excitation and higher resistivity. A precise control of O is found to be necessary to grow superlattice-structured Er-Si-O compounds, which are semiconducting and are excitable via carrier-mediated excitation mechanism.  相似文献   

16.
A 2D layer of spherical, crystalline Ge nanodots embedded in a SiO2 layer was formed by low pressure chemical vapour deposition combined with furnace oxidation and rapid thermal annealing. The samples were characterized structurally by using transmission electron microscopy and Rutherford back scattering spectrometry, as well as electrically by measuring C-V and I-V characteristics. It was found that formation of a high density Ge dots took place due to oxidation induced Ge segregation. The dots were situated in the SiO2 at the average distance 5–6 nm from the substrate. Strong evidence of charge storage effect in the crystalline Ge-nanodot layer was demonstrated by the hysteresis behavior of the high-frequency C-V curves.  相似文献   

17.
Carbonitriding of AISI 304 austenitic stainless steel was performed at a plasma-processing power of 450 W using inductively coupled radio frequency (rf) plasma in a gas mixture of 50% N2 and 50% C2H2. The rate of carbonitriding, microhardness, phase structure of the compound layer, surface microstructure and cross-section morphology were studied before and after the annealing process. At the annealing temperature up to 800°C, the microhardness values of the compound zones decrease, while the associated values of the diffused zones increase. Little change was found in the thickness of the compound and diffused zones when the carbonitrided samples were annealed up to 400°C. However, at a higher annealing temperature, the thicknesses of both zones increase. The γ-Fe austenite is the main crystalline phase that can be detected by X-ray diffraction. As the annealing temperature increases up to 500°C, X-ray spectra show α-Fe and Fe5C2 phases. Nitrogen diffuses more deeply from the near surface to the interior of the treated sample as the annealing temperature increases up to 800°C and this might explain the extent of carbonitrided thickness and the enhanced microhardness of the diffused zone.  相似文献   

18.
We perform a single-shot IR nanosecond laser processing of commercial silicon wafers in ambient air and under a 2 mm thick carbon disulfide liquid layer. We characterize the surface spots modified in the liquid ambient and the spots ablated under the same conditions in air in terms of its surface topography, chemical composition, band-structure modification, and crystalline structure by means of SEM and EDX microscopy, as well as of FT-IR and Raman spectroscopy. These studies indicate that singlestep microstructuring and deep (up to 2–3% on the surface) hyperdoping of the crystalline silicon in its submicron surface layer, preserving via pulsed laser annealing its crystallinity and providing high (104 cm?1) spectrally flat near- and mid-IR absorption coefficients, can be obtained in this novel approach, which is very promising for thin-film silicon photovoltaic devices.  相似文献   

19.
In this study, the influence of post-deposition annealings (PDA) up to temperatures of T PDA=700°C on the room-temperature resistivity of e-beam evaporated titanium/platinum (Ti/Pt) bi-layers on low temperature co-fired (LTCC) substrates covered with a glass encapsulate is investigated. The thickness of the platinum top layer is varied between 24 and 95 nm (titanium film thickness: 5 nm) and between 23 and 90 nm (titanium film thickness: 15 nm), respectively. In the “as-deposited” state and up to post-deposition annealing temperatures of T PDA=450°C, the film resistivity is linearly correlated with the reciprocal value of the platinum film thickness according to the size effect. When applying, however, solely the Fuchs-Sondheimer model for evaluation, the effective mean free path for electrons is substantially above the value reported for crystalline platinum at room temperature. Compared to similar investigations on smooth Si/SiO2 substrates yielding interpretable results within this theoretical approach, this is due to the increase of the thickness-dependent fraction in film resistivity which is strongly affected by the enhanced LTCC/glass surface roughness. At T PDA>600°C, diffusion of titanium into the platinum top layer and the roughening of the LTCC/glass substrate dominate the electrical behavior, both causing an increase in film resistivity above average. In contrast to Si/SiO2 substrates, thermal induced grooving effects in the Pt top layer play a minor role as the temperature coefficients of expansion of metallization and glass-ceramic substrate match better and the effective temperature difference for stress generation is lower due a glass softening temperature of about 450°C.  相似文献   

20.
Aluminium-induced crystallization of amorphous silicon (a-Si) in Al/a-Si and a-Si/Al bilayers was studied upon annealing at low temperatures between 165 and 250 °C, by X-ray diffraction (XRD) and Auger electron spectroscopy (AES). Upon annealing the inward diffusion of Si along grain boundaries in Al takes place, followed by crystallization of this diffused Si. Continuous annealing leads to (more or less) layer exchange in both types of bilayers. The change in bulk energy of the Al phase (release of macrostress and microstrain, increase of grain size) promotes the occurrence of layer exchange, whereas changes in surface and interface energies counteract the layer exchange.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号