首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 36 毫秒
1.
Colloid aspects of chemical-mechanical planarization   总被引:1,自引:0,他引:1  
The essential parts of interconnects for silicon based logic and memory devices consist of metal wiring (e.g. copper), a barrier metal (Ta, TaN), and of insulation (SiO2, low-k polymer). The deposition of the conducting metal cannot be confined to trenches, resulting in additional coverage of Cu and Ta/TaN on the surface of the dielectrics, yielding an electrically conducting continuous but an uneven surface. The surplus metal must be removed until a perfectly flat surface consisting of electrically isolated metal lines is achieved with no imperfections. This task is accomplished by the chemical-mechanical planarization (CMP) process, in which the wafer is polished with a slurry containing abrasives of finely dispersed particles in submicrometer to nanometer size. The slurries also contain dissolved chemicals to modify the surfaces to be planarized. Eventually the final product must be cleared of any adhered particles and debris left after polishing is completed. Obviously the entire process deals with materials and interactions which are the focal subjects of colloid and surface science, such as the natures of abrasive particles and their stability in the slurry, the properties of various surfaces and their modifications, adhesion and detachment of the particles and different methods for the characterization of constituents, as well as elucidation of the relevant interfacial phenomena. This review endeavors to describe the colloid approach to optimize the materials and processes in order to achieve desirable polish rates and final surfaces with no imperfections. Specifically, the effects of the composition, size, shape, and charge of abrasive particles on the polish process and the quality of planarized wafers is described in detail. Furthermore, the interactions of metal surfaces with oxidizing, chelating, and other species which affect the dissolution and surface modification of metal (copper) surfaces are illustrated and related to the planarization process. Finally, using the packed column technique the adhesion phenomena of abrasives on metals and oxides is evaluated on suitable model systems, that contain the same additives in the slurries as in the actual planarization process. A close correlation is established in all cases between the attachment and detachment results with experimentally determined polish rates.  相似文献   

2.
This study describes particle adhesion experiments carried out to elucidate interactions between particles in slurries used for polishing of wafers and disks. For this purpose the packed column technique was employed, which simulated chemical mechanical polishing of copper with silica and alumina, as well as of silicic oxide with ceria. The model systems consisted of uniform copper and glass beads as collectors, representing the wafers, and colloidal dispersions of silica, alumia, and silica coated with nanosize ceria, all of well-defined properties that are used as abrasives. It was shown that a strong correlation exists between deposition and detachment results of the adhesion studies and the polish rates measured using actual substrates with the same or similar slurries.  相似文献   

3.
In this work, surface analysis technology is employed to investigate the removal mechanism and the selection of abrasive during fused silica chemical mechanical polishing (CMP). Morphology of abrasives is inspected by scanning electron microscope (SEM). The atomic force microscope (AFM) is used to determine the surface roughness (Rq) and undulating (PV) of the polished fused silica surface. The results show that abrasive morphology has a tremendous influence on removal rate (MRR) and PV but has little effect on the Rq. The AFM and infrared spectroscopy (IR) analysis show that a soft layer, called “silica gel membrane (SGM),” existed on the polished surface is the critical reason for the differences of MRR, Rq, and PV during CMP. For three kinds of micro-ceria abrasives, the abrasive with a rougher surface gets more opportunities to contact the surface of fused silica, yielding higher MRR. Regarding different kinds of nano-abrasives, there are more SGM induced by nano-ceria abrasive resulting from higher chemical reaction rate. The element contaminations on the polished fused silica have been assessed using X-ray photoelectron spectroscopy (XPS), and the results suggest that there are depths of 3.6 and 5.4-nm element contaminations on the polished surface of fused silica with nano-ceria and nano-alumina abrasives, respectively. While the surface polished by nano-silica is free of heterogeneous element contaminations. Based on study results, a novel polishing slurry is designed by modifying the chemical composition of nano-silica. Comparing with ceria-based slurry, the silica-based slurry has better removal efficiency, and surface quality in fused silica precision machining.  相似文献   

4.
The effect of l-glutamic acid as complexing agent in the presence of hydrogen peroxide as oxidizer in copper chemical mechanical polishing (CMP) slurry is investigated. In the CMP process, the work surface is moved against a pad, with slurry flowing between the surface and the pad. The polish rate was found to be stable over a wide range of hydrogen peroxide concentration. High concentration of either l-glutamic acid or hydrogen peroxide leads to a reduction in polish rate, but a high concentration of both chemicals does not reduce the polish rate. In the absence of hydrogen peroxide, the Cu polish rate was 0 for all the l-glutamic acid concentrations investigated. However, potentiodynamic polarization curves do not show any sign of passivation when l-glutamic acid was present in the solution. In situ open circuit potential measurements show that copper redox reactions as well as hydrogen peroxide redox reactions contribute in determining the electrochemical behavior. We propose that l-glutamic acid inhibits the copper dissolution by adsorption onto the metallic copper, but enhances copper dissolution by complexing copper ions. The results show that it is possible to conduct controllable copper CMP in mildly acidic slurries with hydrogen peroxide as oxidizer and l-glutamic acid as complexing agent.  相似文献   

5.
Ellipsoidal trilayer hematite/silica/poly(divinylbenzene) hybrid particles were prepared by distillation precipitation polymerization of divinyl benzene (DVB) in the presence of hematite/3-(methacryloxy)propyl trimethoxysilane (MPS)-modified silica (SiO(2)) core-shell particles as the seeds. The polymerization of DVB was performed in neat acetonitrile with 2,2'-azobisisobtyronitrile (AIBN) as initiator to coat the hematite/MPS-modified SiO(2) seeds through the capture of DVB oligomer radicals with the aid of a vinyl group on the surface of the hematite/MPS-modified silica core-shell particles in the absence of any stabilizer or surfactant. The other hematite/silica/polymer trilayer hybrid particles with different polarity and various functionality, such as hematite/silica/poly(ethylene glycol dimethacrylate) and hematite/silica/poly(divinyl benzene- co-methacrylic acid) could also be prepared by this procedure. Hematite/silica/poly( N, N'-methylenebisacrylamide) composite particles could be prepared with unmodified hematite/silica particles as seeds. Hollow poly(divinyl benzene) (PDVB) and poly( N, N'-methylenebisacrylamide) (PMBAAm) ellipsoids with movable hematite cores were subsequently developed after the selective etching of the silica midlayer in diluted hydrofluoric acid from hematite/silica/PDVB and hematite/silica/PMBAAm trilayer hybrids. Hollow PDVB ellipsoids were obtained by removal of the silica midlayer and hematite core of the trilayer hybrids with concentrated HF solution. The resultant trilayer hybrid particles and hollow polymer ellipsoids were characterized by transmission electron microscopy and vibrating sample magnetometer.  相似文献   

6.
Chemical mechanical polishing (CMP) has become an essential process in the manufacturing of advanced microelectronic devices. More recently, CMP has also been applied to the process of other advanced materials such as optical crystals and thin films. Typically, a CMP slurry is formulated as an aqueous dispersion which may contain abrasive particles, activating agent, passivating agent, surfactant, etc. Due to its sensitivity to water, hygroscopic crystals must not be processed with aqueous based slurry. In this study, a new abrasive-free system based on water-in-oil microemulsion was investigated to address this challenge. More specifically, a dispersion made of dodecanol, Triton X-100, and water was studied for its potential application in KH2PO4(KDP) crystal processing. In this unique polishing system, water molecules are caged into micelles so the reaction between KDP and water is controlled. As a result, the static etch rate of the substrate surface is minimized. During polishing process, the frictional action between crystal surface and pad leads to the release of reactive water molecules. The material removal is, thus, enhanced. In this paper, the techniques used to characterize such abrasive-free system were first introduced. The water-in-oil structures were characterized and confirmed by conductivity, dynamic lighting scattering and dynamic nuclear magnetic resonance (NMR) measurements. The performance of this system on the process of KDP crystals was then discussed. The static etch rate and the material removal rate in polishing process were measured under various conditions in order to elucidate the polishing mechanism. Finally, the potential application of such a novel nonaqueous polishing system in CMP beyond KDP crystals is discussed.  相似文献   

7.
Abrasive properties of cocoon shaped silica particles fabricated by a sol–gel method have been studied. Since silicon wafers are polished with slurry by the mechanism of Chemical Mechanical Polishing, polishing rates may depend on various chemical and mechanical factors, such as particle concentration in slurry, slurry pH and kinds of basic compounds for controlling the slurry pH. The silicon wafer was polished by slurry continuously fed on a pad, and the polishing rate was estimated as a weight loss of the wafer. For studying the effects of the various factors on the rate, the slurries were prepared by adding the silica particles, basic compounds or salts, and the polishing rates of the slurry were measured. The effects of the various factors were made clear as follows: For the effect of particle concentration, the rates increased with increasing of the concentrations up to 1.0 wt.%. And for the effect of the slurry pH, slurries added basic compounds, such as KOH, NaOH, ammonia, were tested, and it was found that increasing of the slurry pH brought increases of the polishing rates. KOH-containing slurry of pH 13.2 had the fastest rate, 3.6 times as fast as the standard slurry with pH 9.4. For the effect of the adding of salts, it was indicated that the salts, such as KCl, NaCl, NH4Cl, NaNO3 and K2SO4 increased the polishing rates, and that KCl-containing slurry of 0.36 mol/l had the highest polishing rate, 3.4 times as fast as that of the standard slurry containing no salts.  相似文献   

8.
Abstract

Chemical mechanical polishing (CMP) has become the preferred route for achieving wafer‐level global planarization in microelectronics device manufacturing. However, the micro‐ to molecular‐level mechanisms that control its performance and optimization are not well understood. In CMP, complex slurry chemistries react with the first few atomic layers on the wafer surfaces forming a chemically modified film. This film is subsequently mechanically abraded by nanosized slurry particles to achieve local and global planarity for multi‐level metalization. For optimal CMP performance, high material removal rates with minimal surface defectivity are required. This can be achieved by controlling the extent of interparticle and particle–substrate interactions, which are facilitated through the manipulation of the slurry composition, solution chemistry, as well as operational parameters. Interparticle interactions must be engineered to maintain slurry stability to minimize the number and extent of surface defects during polishing while maintaining adequate removal rates. The fundamental considerations, which are necessary for the development of high performance CMP slurries, are discussed in this article through model silica CMP systems.  相似文献   

9.
Simulation of the Adhesion of Particles to Surfaces   总被引:1,自引:0,他引:1  
The removal of micrometer and submicrometer particles from dielectric and metal films represents a challenge in postchemical mechanical polishing cleaning. Proper modeling of the adhesive force between contaminant particles and these films is needed to develop optimal solutions to postchemical mechanical polishing cleaning. We have previously developed and experimentally validated a model to describe the adhesion between spherical particles and thin films. This simulation expands previous models to characterize the adhesive interaction between asymmetrical particles, characteristic of a polishing slurry, and various films. Our simulation accounts for the contact area between particles and substrates, as well as the morphology of the surfaces. Previous models fail to accurately describe the contact of asymmetrical particles interacting with surfaces. By properly accounting for nonideal and geometry and morphology, the simulation predicts a more accurate adhesive force than predictions based upon an ideal van der Waals model. The simulation is compared to experimental data taken for both semi-ideal particle-substrate systems (polystyrene latex spheres in contact with silicon films) and asymmetrical systems (alumina particles in contact with various films). Copyright 2001 Academic Press.  相似文献   

10.
Chemical mechanical polishing (CMP) is an essential step in metal and dielectric planarization in multilayer microelectronic device fabrication. In the CMP process it is necessary to minimize the extent of surface defect formation while maintaining good planarity and optimal material removal rates. These requirements are met through the control of chemical and mechanical interactions during the polishing process by engineering the slurry chemistry, particulate properties, and stability. In this study, the performance of surfactant-stabilized silica CMP slurries at high pH and high ionic strengths are investigated with particular emphasis on the particle-particle and particle-substrate interactions. It is shown that for the design of consistently high performing slurries, stability of abrasive particles must be achieved under the dynamic processing conditions of CMP while maintaining sufficient pad-particle-wafer interactions.  相似文献   

11.
Spindle-type hematite particles of narrow size distribution were coated with uniform layers of silica by hydrolysis of tetraethylorthosilicate (TEOS) in 2-propanol. The effects of the reaction time and initial concentrations of ammonia and water on the thickness of the silica shell were investigated and the rate of the coating process, in terms of the TEOS concentration, was evaluated.  相似文献   

12.
A cationic polymer, poly(diallyldimethylammonium chloride), or PDADMAC (MW ≈ 200,000), at a concentration of 250 ppm was used to enhance polysilicon removal rates (RRs) to ~600 nm/min while simultaneously suppressing both silicon dioxide and silicon nitride RRs to <1 nm/min, both in the absence or in the presence of ceria or silica abrasives during chemical mechanical polishing (CMP). These results suggest that aqueous abrasive-free solutions of PDADMAC are very attractive candidates for several front-end-of-line (FEOL) CMP processes. Possible mechanisms for the enhancement of poly-Si RR and the suppression of oxide and nitride RRs are proposed on the basis of the RRs, contact angle data on poly-Si films, zeta potentials of polishing pads, polysilicon films, silicon nitride particles, and silica and ceria abrasives, thermogravimetric analysis, and UV-vis spectroscopy data.  相似文献   

13.
"Ice polishing single silicon wafers with nano-sized Al2O3 abrasives can be known as ice fixed abrasives chemical mechanical polishing (IFA-CMP). TAn abrasive slurry was made of nano-sized Al2O3 particles dispersed in de-ionized water with a surfactant and the slurry was frozen to form an ice polishing pad. Then polishing tests of blanket silicon wafers with the above ice polishing pad were carried out. The morphologies and surface roughness of the polished silicon wafers were observed and examined on an atomic force microscope. The subsurface damage was assessed by means of cross-section transmission electron microscopy. The surface chemical constituents of the polished silicon wafers were characterized using X-ray photoelectron spectroscopy in order to gain insight into the chemical mechanisms in the process. Scratch resistance of the single silicon wafer was measured by nanoscratching using a nanoindenter to explore the mechanical removal mechanism. The results show that a super smooth surface with an average roughness of 0.367 nm is obtained within 1000 nm£1000 nm and there is a perfect silicon diamond structure without any microcracks in the subsurface. The removal of material is dominated by the coactions of ductile regime machining and chemical corrosion. In the end, a model of material removal of IFA-CMP is built."  相似文献   

14.
Two unique commercial applications of the sol-gel process in Japan are presented. One application involves alkoxide-derived nanometer-sized silica particles used in the final polishing of silicon wafers for the fabrication of integrated circuits. The particles are cocoon-like in shape and have almost replaced conventional abrasives because of the advantages over spherical particles of similar size in terms of obtaining high polishing efficiency for good surface finish. The other application concerns the treatment of paper with an alkoxide solution for water repellent and oil resistance properties, which leads to new products for disposable tableware or cooking ware for microwave oven use.  相似文献   

15.
Differently shaped reaction areas for silica deposition were created by photochemical grafting of hydrophobic poly(acrylic acid 2-ethyl-hexylester) as barrier and a hydrophilic polymer employing a mask having hexagons, pentagons, squares and stripes. Poly(ethylene glycol), poly(acrylic acid), branched and linear poly(ethylene imine) and linear poly(methylpropylene imine) have been applied as hydrophilic polymer in the reaction area. These patterned films have been used in silica mineralization experiments by dipping them into a silica precursor solution. Investigations of the polymer films and silica depositions have been done by contact angle measurements, ellipsometry and scanning electron microscopy (SEM). Silica deposition occurs only in the hydrophilically coated areas, resulting in regular arrays of lens-like silica particles. There are only minor differences due to the shape of the reaction area. The influence of the different hydrophilic polymers can be explained by their wetting behaviour.  相似文献   

16.
In order to produce an excellent abrasive, a fabrication method for cocoon shaped silica particles has been studied. The particles are prepared from TMOS, water, ammonia and methanol by a sol–gel method. The method is to add the methanol solution of TMOS at a constant supply rate to a mixture of water, ammonia and methanol. Effects of various reaction conditions such as temperatures, supply rates of TMOS, and amounts of TMOS are studied on the diameter and shape of the particles. The diameter and shape are resulted in depending strongly on temperatures. High temperature makes particles with the high aspect ratio and the small diameter. And the mechanism of forming the cocoon shaped particle is also discussed. It is concluded that the primary particles are generated at the beginning stage of reaction and two of them become the cocoon shaped particle. For the polishing efficiency, particles have high polishing efficiency with the diameter between 40 nm and 210 nm. As a result, best diameter of particles for abrasive is 40–100 nm with respect to polishing efficiency and surface finish.  相似文献   

17.
Superhydrophobic nanofiber films were created from electrospun nanofibers with undulated surfaces at multiple scales in micrometers and nanometers. The electrospun nanofibers were produced out of aqueous solutions which contained water-soluble polymers and different colloids: monodisperse silica or polystyrene microspheres for larger particles and monodisperse silica nanoparticles for smaller particles. Various types of fibrous films were produced depending on the properties of the dispersing medium, the effects of additives, and the compositions of the bidisperse colloids. When polystyrene microspheres were used as sacrificial templates, macropores were left behind in the nanofibers during the removal of polystyrene microspheres by calcination. The nonwoven films of electrospun nanofibers, which were decorated with silica microspheres or macropores, could be continuously produced with considerable ease under a relatively wide range of operating conditions. The surface properties of the films were characterized by contact angle measurement and an X-ray photoelectron spectrometer. Through the surface modification of the electrospun nanofibers with fluorinated silane coupling agents, superhydrophobic surfaces with low sliding angles were successfully prepared.  相似文献   

18.
Stabilization of gamma-alumina suspension for chemical-mechanical polishing (CMP) of copper was investigated. Citric acid and poly(acrylic acid) (PAA) (M(w)=5000) were used as dispersant. The stability of suspension was evaluated from the changes in viscosity, particle size and zeta potential. It appears that metastable gamma-alumina mainly due to its high specific surface area and to the presence of aluminol groups on its surface is progressively transformed to bayerite (beta-Al(OH)(3)) by hydration procedure. Citric acid molecules were adsorbed onto gamma-alumina surface effectively and exhibited the excellent hydration inhibition effect. Although citrate-alumina surface complexes give barrier to the flocculation, the repulsion potential is based mainly on the electrostatic repulsion, thereby steric hindrance caused by the adsorption of these small molecules is very weak. The electrosteric repulsion, which provides more effective dispersion stability than electrostatic repulsion force, can be expected by using polyelectrolyte such as PAA; however, adsorbed layers of PAA onto solid/liquid interface are loosely formed. Therefore, a large amount of PAA was required to inhibit the surface hydration of gamma-alumina suspension, thereby the excess addition of PAA decreased the electrosteric repulsion and re-bridging of the dispersant between particles caused an increase in suspension viscosity. Therefore, synergistic effect can be expected in mixed dispersant system of citric acid and PAA, since small citric acid molecules are adsorbed faster than PAA, inhibiting the progress of surface hydration, and then adsorbed PAA layers exhibit the effective electrosteric repulsion interaction between particles with a small amount compared with PAA alone. It was revealed that the gamma-alumina slurry dispersed by mixed dispersant exhibited the improved removal rate of Cu layer by CMP polishing test.  相似文献   

19.
We report on a single-step coating process and the resulting colloidal stability of silica-coated spindle-type hematite nanoparticles (NPs) decorated with a layer of poly(acrylic acid) (PAA) polyelectrolyte chains that are partially incorporated into the silica shell. The stability of PAA coated NPs as a function of pH and salt concentration in water was compared to bare hematite particles and simple silica-coated hematite NPs, studying their electrophoretic mobility and the hydrodynamic radius by dynamic light scattering. Particles coated with this method were found to be more stable upon the addition of salt at pH 7, and their aggregation at the pH of the isoelectric point is reversible. The hybrid coating appears to increase the colloidal stability in aqueous media due to the combination of the decrease of the isoelectric point and the electrosteric stabilization. This coating method is not limited to hematite particles but can easily be adapted to any silica-coatable particle.  相似文献   

20.
A laser ablation time of flight mass spectrometry (LA-TOF-MS) technique was applied to the isotopic analysis of variety of microparticles. Sample with only two Gd2O3 particles with ~ 10 μm in diameter, the mixed particles composed of Gd2O3, Ni, and Pd, and silica particles coated with few tens of ng of Gd have been analyzed. The ablation of particles was achieved by a second harmonic of a Nd:YAG laser, 532 nm with loading these particles onto various metal matrices such as Ta, Zn, and Cu. Isotopic analysis for adopted sample was successfully carried out with good mass resolution. The loaded two small sized particles (~ 10 μm) were analyzed with reasonable isotopic ratios for enough time to observe the ion signal by the 10 Hz laser. In the case of coated particle, isotopic abundances of Gd (~ 50 ng/particle) were observed and the measured isotopic ratio reasonably agreed to the natural abundance of Gd. As far as the sample loading plates (matrix) are concerned, Ta and Cu plates showed more improved detection sensitivity and mass resolution. Direct analysis of swiped-mixed metal particles onto the cotton textile shows the possibility for an application of environmental sample analysis in nuclear safeguards.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号