首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
碱溶液修饰硅纳米线阵列绒面   总被引:1,自引:0,他引:1  
提出用碱溶液修饰硅纳米线阵列制作太阳能绒面的方法。实验中首先采用金属催化化学腐蚀法在Si(100)基底上制备了定向排列的硅纳米线阵列,然后将纳米线阵列浸入碱溶液中进行修饰,修饰时间分别为10,30,50,60,90s。通过扫描电子显微镜(SEM)对硅纳米线阵列进行形貌分析,采用太阳能测试系统附带的积分球测量纳米线阵列绒面结构的反射光谱。通过测量和分析发现硅纳线阵列在碱溶液中修饰30s时表面分布均匀,在400~1000nm波段的综合反射率低于4%。结果表明碱溶液修饰纳米线阵列的方法能够有效分散束状硅纳米线阵列,明显降低绒面的反射率,并且初步分析了碱溶液修饰硅纳米线阵列的分散机理。  相似文献   

2.
采用金属催化化学腐蚀法在p型(100)硅基底上制备了硅纳米阵列,然后用碱溶液对纳米线阵列进行修饰。分别研究了碱液修饰对硅纳米线阵列形貌、光电性质的影响。研究表明: 与绒面及纳米线阵列相比,碱修饰30 s硅纳米线阵列的表面分散均匀,反射率降低;光谱响应度显著提高,并且出现最大量子效率对应波长红移现象。最后,详细讨论了碱液修饰硅纳米线阵列电池对光谱响应的影响机制。  相似文献   

3.
Silicon nanowire (SiNW) arrays were fabricated on silicon wafers by the metal-assisted chemical etching method. Varied average diameters of SiNW arrays were realized through further treatment in a mixed agent of HF and HNO3 of certain concentrations. After the treatment, there were more than 93% SiNWs with diameters smaller than 100 nm. The tip of each SiNW was subsequently wrapped with multi-walled carbon nanotubes (MWCNTs) with chemical vapor deposition method. The as-fabricated MWCNT/SiNW arrays were fabricated into electric field emitters, with turn-on field of 2.0 V/μm (current density: 10 μA/cm2), much lower than that of SiNW array (5.0 V/μm). The turn-on electric field of MWCNT/SiNW array decreased with the decreasing of the average diameter of SiNWs, indicating the performance of the field emission is relative to the morphology of SiNWs. As the SiNW array is uniform in height and easy to fabricate, the MWCNT/SiNW array shows potential applications in flat electric display.  相似文献   

4.
A simple and low cost method to generate single-crystalline, well-aligned silicon nanowires (SiNWs) of large area, using Ag-assisted electroless etching, is presented and the effect of differently sized Ag catalysts on the fabrication of SiNWs arrays is investigated. The experimental results show that the size of the Ag catalysts can be controlled by adjusting the pre-deposition time in the AgNO3/HF solution. The optimum pre-deposition time for the fabrication of a SiNWs array is 3 min (about 162.04 ± 38.53 nm Ag catalyst size). Ag catalysts with smaller sizes were formed in a shorter pre-deposition time (0.5 min), which induced the formation of silicon holes. In contrast, a large amount of Ag dendrites were formed on the silicon substrate, after a longer pre-deposition time (4 min). The existence of these Ag dendrites is disadvantageous to the fabrication of SiNWs. Therefore, a proper pre-deposition time for the Ag catalyst is beneficial to the formation of SiNWs.SiNWs were synthesized in the H2O2/HF solution system for different periods of time, using Ag-assisted electroless etching (pre-deposition of the Ag catalyst for 3 min). The length of the SiNWs increases linearly with immersion time. From TEM, SAED and HRTEM analysis, the axial orientation of the SiNWs is identified to be along the [001] direction, which is the same as that of the initial Si wafer. The use of HF may induce Si–Hx bonds onto the SiNW array surface. Overall, the Ag-assisted electroless etching technique has advantages, such as low temperature, operation without the need for high energy and the lack of a need for catalysts or dopants.  相似文献   

5.
In this letter, we, for the first time, report on coherent anti-Stokes Raman scattering (CARS) spectroscopy of an ensemble of silicon nanowires (SiNWs) formed by wet chemical etching of crystalline silicon with a mask of silver nanoparticles. The fabricated SiNWs have diameter ranged from 30 to 200 nm and demonstrate both visible and infrared photolumine cence (PL) and spontaneous Raman signal, with their intensities depending on presence of silver nanoparticles in SiNWs. The efficiency of CARS in SiNW ensembles is found to be significantly higher than that in crystalline silicon. The results of CARS and PL measurements are explained in terms of resonant excitation of the electron states attributed to silicon nanoparticles.  相似文献   

6.
Arrays of aligned silicon nanowire (SiNW) were synthesized on a silicon (1 0 0) substrate by self-assembling electroless nanoelectrochemistry. Compared with that of bulk crystal silicon, the first-order Raman peak of the silver cap-removed SiNW arrays shows a downshift and asymmetric broadening due to the phonon quantum confinement effects, and intensity enhancement. Field electron emission from the SiNWs was also investigated. The turn-on field was found to be about 12 V/μm at a current density of 0.01 mA/cm2. These highly densified and ordered SiNW arrays can be expected to have favorable applications in vacuum electronic or optoelectronic devices.  相似文献   

7.
在常温常压条件下,采用改进的金属催化化学腐蚀方法在n型单晶硅片(100)上制备了大面积垂直于硅衬底、直径均匀、排列整齐的硅纳米线阵列。分析了样品的表面形貌和反射谱,纳米线直径为10~50 nm。在腐蚀时间分别为15,30,60 m in时,纳米线长度分别为9,17,34μm。样品的减反射性能优异,在300~1 000nm波段,得到了2.4%的反射率。初步分析了纳米线阵列的减反射机制和不同腐蚀时间样品的反射率差异。  相似文献   

8.
We fabricated sulfur-doped black silicon by metal-assist chemical etching (MCE) and ion implanting. The morphologies of silicon nanowire (SiNW) arrays and the concentration of sulfur in black silicon were analyzed by scanning electron microscope (SEM). Sulfur-doped black silicon shows higher absorption in entire 0.3–2.5 μm wavelength range as compared to undoped SiNW arrays and flat silicon. The changes in the absorption spectra of black silicon with different etching durations and annealing temperature are also shown. Upon annealing, the absorption decreases significantly in 2–2.5 μm wavelength region. The novel results clearly indicate that sulfur implanting could produce below band gap absorption in the silicon substrate.  相似文献   

9.
Single-walled carbon nanotubes (SWNTs) have been grown on silicon nanowires (SiNWs) by ethanol chemical vapor deposition (CVD) with Co catalysts. We have found that a surface SiOx layer of SiNWs is necessary for the formation of active Co catalysts. In fact, the yield of the SWNT/SiNW heterojunctions gradually decreases as the thickness of the surface SiOx layer decreases. Since thin SiNWs are transparent to an electron beam, the Co nanoparticles on SiNWs can be easily observed as well as SWNTs by TEM. Therefore, the relationship between the diameters of each SWNT and its catalyst nanoparticle has been investigated. The diameters of SWNTs are equal to or slightly smaller than those of the catalyst nanoparticles.  相似文献   

10.
李小娟  韦尚江  吕文辉  吴丹  李亚军  周文政 《物理学报》2013,62(10):108801-108801
采用气相聚合法制备了有机/无机杂化的硅/聚3, 4-乙撑二氧噻吩核/壳纳米线阵列(SiNWs/PEDOT)太阳能电池. 相对平面结构Si/PEDOT太阳能电池, SiNWs/PEDOT太阳能电池的能量转换效率提升了7倍, 达到3.23%.对比分析反射光谱、I-V曲线及外量子效率的实验结果, 发现SiNWs/PEDOT太阳能电池性能改进的主要原因可归结为: 气相聚合法能够有效地制备出SiNWs/PEDOT电池的核/壳纳米线阵列结构, 使得器件具有高光捕获、高比结面积和高电荷收集效率. 关键词: Si/PEDOT核/壳纳米线结构 太阳能电池 气相聚合  相似文献   

11.
Silicon nanowires (SiNWs) were produced by an electroless method on FZ-Si (100) wafer, in HF/AgNO3 solution. The influence of etching time and temperature on SiNWs morphology were studied using FESEM images. Optical properties were also investigated by optical absorption spectroscopy and low-temperature photoluminescence at 4.2?K. Considering their role as active regions, photovoltaic properties of SiNWs solar cells were studied for their different lengths. Photovoltaic measurements were taken in 1 sun condition under AM 1.5 illumination supplied by a solar simulator. Measurements indicated a reduction in efficiency as SiNWs length increased, which might be attributed to increased dangling states on nanowires surfaces.  相似文献   

12.
It was demonstrated that the etching in HF-based aqueous solution containing AgNO3 and Na2S2O8 as oxidizing agents or by Au-assisted electroless etching in HF/H2O2 solution at 50 °C yields films composed of aligned Si nanowire (SiNW). SiNW of diameters ∼10 nm were formed. The morphology and the photoluminescence (PL) of the etched layer as a function of etching solution composition were studied. The SiNW layers formed on silicon were investigated by scanning electron microscopy (SEM), energy-dispersive X-ray (EDX) and photoluminescence. It was demonstrated that the morphology and the photoluminescence of the etched layers strongly depends on the type of etching solution. Finally, a discussion on the formation process of the silicon nanowires is presented.  相似文献   

13.
The morphology of silicon nanowire (SiNW) layers formed by Ag-assisted electroless etching in HF/H2O2 solution was studied. Prior to the etching, the Ag nanoparticles were deposited on p-type Si(1 0 0) wafers by electroless metal deposition (EMD) in HF/AgNO3 solution at room temperature. The effect of etching temperature and silicon resistivity on the formation process of nanowires was studied. The secondary ion mass spectra (SIMS) technique is used to study the penetration of silver in the etched layers. The morphology of etched layers was investigated by scanning electron microscope (SEM).  相似文献   

14.
We report on the characterization of hexagonally ordered, vertically aligned silicon nanowires (SiNW) by means of analytical transmission electron microscopy. Combining colloidal lithography, plasma etching, and catalytic wet etching arrays of SiNW of a sub-50 nm diameter with an aspect ratio of up to 10 could be fabricated. Scanning transmission electron microscopy has been applied in order to investigate the morphology, the internal structure, and the composition of the catalytically etched SiNW. The analysis yielded a single-crystalline porous structure composed of crystalline silicon, amorphous silicon, and SiO x with x≤2.  相似文献   

15.
The un-doped and boron-doped silicon nanowires (SiNWs) were grown via vapor–liquid–solid (VLS) mechanism by low pressure chemical deposition (LPCVD). The diameters of un-doped and boron-doped SiNWs varied from 18.5 to 75.3 nm and 26.6 to 66.1 nm, respectively. The critical growth temperature of boron-doped SiNWs is 10°C lower than that of un-doped ones and the diameters of the boron-doped SiNWs is always larger than that of the un-doped ones under different growth temperatures. This is because that the introduction of diborane enhanced the dissociation of SiH4 which determines the growth process of SiNW. A growth process of silicon nanowire is proposed to describe the influence of B2H6.  相似文献   

16.
This paper presents photodetectors having vertically stacked electrodes with sub-micron (300 nm) separation based on silicon nanowire (SiNW) nanocomposites. The thin-film-like devices are made using standard photolithography instead of electron beam lithography and thus are amenable to scalable low-cost manufacturing. The processing technique is not limited to SiNWs and can be extended to different nanowires (NWs) (e.g., ZnO, CdSe) and substrates. The current–voltage characteristics show Schottky behaviour that is dependent on the properties of the contact metal and that of the pristine SiNWs. This makes these devices suitable for examination of electronic transport in SiNWs. Preliminary results for light sensitivity show promising photoresponse that is a function of effective NW density.  相似文献   

17.
Although the aqueous electroless etching (AEE) method has received significant attention for the fabrication of silicon nanowires (SiNWs) due to its simplicity and effectiveness, SiNWs grown via the AEE method have a drawback in that their surface roughness is considerably high. Thus, we fabricated surface-modified pn + junction SiNWs grown by AEE, wherein the surface roughness was reduced by a sequential processes of oxide growth using the rapid thermal oxidation (RTO) cycling process and oxide removal with a hydrofluoric acid solution. High-resolution transmission electron microscopy analysis confirmed that the surface roughness of the modified SiNWs was significantly decreased compared with that of the as-fabricated SiNWs. After RTO treatment, the wettability of the SiNWs had dramatically changed from superhydrophilic to superhydrophobic, which can be attributed to the formation of siloxane groups on the native oxide/SiNW surfaces and the effect of the nanoscale structure. Due to the enhancement in surface carrier mobility, the current density of the surface-modified pn + junction SiNWs was approximately 6.3-fold greater than that of the as-fabricated sample at a forward bias of 4 V. Meanwhile, the photocurrent density of the surface-modified pn + junction SiNWs was considerably decreased as a result of the decreases in the light absorption area, light absorption volume, and light scattering.  相似文献   

18.
We review our recent results on the growth and characterization of silicon nanowires (SiNWs). Vapour-phase deposition techniques are considered, including chemical vapour deposition (CVD), plasma-enhanced chemical vapour deposition (PECVD), high-temperature annealing, and thermal evaporation. We present complementary approaches to SiNW production. We investigate the low-temperature (down to 300 °C) selective nucleation of SiNWs by Au-catalysed CVD and PECVD. Bulk production of SiNWs is obtained by thermal-vapour deposition from Si/SiO powders in a high-temperature furnace. In this case, SiNWs grow either by condensing on Au catalyst films, or by self-condensation of the vapour in a lower-temperature region of the furnace. Finally, we also achieve controlled growth by thermolysis of nanopatterned, multi-layered Si/Au thin-film precursors. The as-produced wires are compared in terms of yield, structural quality, and optical properties. Raman and photoluminescence spectra of SiNWs are discussed. PACS 81.15.Gh; 73.21.-b; 73.21.Hb; 71.20.Mq; 78.30.-j  相似文献   

19.
In this paper, the selective growth of silicon nanowires (SiNWs) was studied. With the aid of photolithography, the vertically aligned silicon nanowires were selectively formed on the patterned substrates via an electroless metal deposition (EMD) method under normal conditions (room temperature, 1 atm). Low-pressure chemical vapor deposition (LPCVD) silicon nitride was used as the masking layer for SiNWs preparation. The scanning electron microscope was used to examine the etching results. Both the patterned and the unpatterned silicon substrates were used for study. The results indicated that the growth rates of the SiNWs upon the patterned and the unpatterned substrates are different. For the patterned substrates, the growth rate of SiNWs is dependent upon the pattern shape. The influence of length-to-width ratio for the rectangular-shaped patterns was studied. It is concluded that by designing the proper length-to-width ratio, the nanowires with different lengths can be fabricated simultaneously on the same substrate.  相似文献   

20.
Highly oriented silicon nanowire (SiNW) layer was fabricated by etching Si substrate in HF/(AgNO3 + Na2S2O8) solution at 50 °C. The morphology and the photoluminescence (PL) of the etched layer as a function of Na2S2O8 concentration were studied. The SiNW layers formed on silicon were investigated by scanning electron microscopy (SEM) and energy-dispersive X-ray (EDX). It was demonstrated that the morphology of the etched layers depends on the Na2S2O8 concentration. Room-temperature photoluminescence (PL) from etched layer was observed. It was found that the utilisation of Na2S2O8 decreases PL peak intensity. Finally, a discussion on the formation process of the silicon nanowires is presented.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号