首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
SOI rib waveguides were fabricated with vertical side walls using inductively coupled plasma reactive ion etching. The root-mean-square (rms) roughness of the side-wall surface was directly measured by atomic force microscopy. The rms roughness of the side-wall surface obtained by three-mask lithography is 28.73 nm, much higher than that of the one-mask-lithography SOI rib waveguide. The scattering loss induced from side-wall roughness is evaluated using Tiens theory, and is proportional to the square of the side-wall rms roughness. To reduce the rms roughness, hydrogen annealing was used to smooth the side-wall surface obtained by three-mask lithography. After hydrogen annealing, there is a significant drop in the rms roughness of the side-wall surface. PACS 42.82.Et; 42.81.Dp; 52.80.Yr; 68.37.Ps; 81.65.Ps  相似文献   

2.
Etching of amorphous Al2O3 and polycrystalline Y2O3 films has been investigated using an inductively coupled reactive ion etch system. The etch behaviour has been studied by applying various common process gases and combinations of these gases, including CF4/O2, BCl3, BCl3/HBr, Cl2, Cl2/Ar and Ar. The observed etch rates of Al2O3 films were much higher than Y2O3 for all process gases except for Ar, indicating a much stronger chemical etching component for the Al2O3 layers. Based on analysis of the film etch rates and an investigation of the selectivity and patterning feasibility of possible mask materials, optimized optical channel-waveguide structures were fabricated in both materials. In Al2O3, channel waveguides were fabricated with BCl3/HBr plasma and using a standard resist mask, while in Y2O3, channel waveguides were fabricated with Ar and using either a resist or a sputter deposited Al2O3 mask layer. The etched structures in both materials exhibit straight sidewalls with minimal roughness and sufficient etch depths (up to 530 nm for Al2O3 and 250 nm for Y2O3) for defining waveguides with strong optical confinement. Using the developed etch processes, low additional optical propagation losses (on the order of 0.1 dB/cm) were demonstrated in single-mode ridge waveguides in both Al2O3 and Y2O3 layers at 1550 nm. PACS 42.70.-a; 42.82.-m; 42.82.Cr  相似文献   

3.
We propose a reactive ion etching (RIE) process of an L10-FePt film which is expected as one of the promising materials for the perpendicular magnetic recording media. The etching was carried out using an inductively coupled plasma (ICP) RIE system and an etching gas combination of CH4/O2/NH3 was employed. The L10-FePt films were deposited on (1 0 0)-oriented MgO substrates using a magnetron sputtering system. The etching masks of Ti were patterned on the FePt films lithographically. The etch rates of ∼16 and ∼0 nm/min were obtained for the FePt film and the Ti mask, respectively. The atomic force microscopy (AFM) analyses provided the average roughness (Ra) value of 0.95 nm for the etched FePt surface, that is, a very flat etched surface was obtained. Those results show that the highly selective RIE process of L10-FePt was successfully realized in the present study.  相似文献   

4.
Inductively coupled plasma (ICP) etching of GaN with an etching depth up to 4 μm is systemically studied by varying ICP power, RF power and chamber pressure, respectively, which results in etch rates ranging from ∼370 nm/min to 900 nm/min. The surface morphology and damages of the etched surface are characterized by optical microscope, scanning electron microscope, atomic force microscopy, cathodoluminescence mapping and photoluminescence (PL) spectroscopy. Sub-micrometer-scale hexagonal pits and pillars originating from part of the structural defects within the original GaN layer are observed on the etched surface. The density of these surface features varies with etching conditions. Considerable reduction of PL band-edge emission from the etched GaN surface indicates that high-density non-radiative recombination centers are created by ICP etching. The density of these non-radiative recombination centers is found largely dependent on the degree of physical bombardments, which is a strong function of the RF power applied. Finally, a low-surface-damage etch recipe with high ICP power, low RF power, high chamber pressure is suggested.  相似文献   

5.
GaN hollow nanocolumns were formed by inductively coupled plasma etching. It was found that the tops of the GaN nanocolumns were hexagonal with the c axis perpendicular to the substrate surface. It was also found that the density of the GaN nanocolumns depends strongly on etching parameters, which suggests that the formation of these GaN nanocolumns was not related to the dislocation density in the original GaN epitaxial layers. With an Ar concentration of 42.86%, it was found that the diameter of the whole nanocolumns was around 80 nm and the diameter of the nanocavities inside these nanocolumns was around 40 nm, while the density of the nanocolumns was around 4.4×109 cm-2. PACS 68.65.-K; 61.70.+w; 81.10.BK  相似文献   

6.
We present results on the fabrication and characterization of ridge waveguides in zinc-substituted lithium niobate. High-quality waveguides were fabricated by a combination of liquid-phase epitaxy and multiple applications of ion-beam enhanced etching. The two major demands on ridge waveguides, a very low side-wall roughness and a rectangle shape with side-wall angles close to 90 degrees , were realized simultaneously by using this technique. Single-mode waveguiding at a wavelength of 1064 nm was demonstrated with attenuation values of 0.9 dB/cm.  相似文献   

7.
集成紫外固化胶NOA73微球与SU-8微柱制造的亚毫米探针,可以作为关键部件应用于三坐标测量机。NOA73微球通过NOA73对其他溶液的界面张力形成,柱子由深紫外光穿过微球曝光SU-8形成。这种新技术利用甘油补偿NOA73与空气折射率差,使得紫外光透过NOA73微球后保持接近平行。最终得到高深宽比的探针结构,高度超过1 200 m,微柱侧壁与基底呈89。  相似文献   

8.
集成紫外固化胶NOA73微球与SU-8微柱制造的亚毫米探针,可以作为关键部件应用于三坐标测量机。NOA73微球通过NOA73对其他溶液的界面张力形成,柱子由深紫外光穿过微球曝光SU-8形成。这种新技术利用甘油补偿NOA73与空气折射率差,使得紫外光透过NOA73微球后保持接近平行。最终得到高深宽比的探针结构,高度超过1 200 m,微柱侧壁与基底呈89。  相似文献   

9.
Superconducting flux flow transistors (SFFT) was successfully fabricated by an inductively coupled plasma (ICP) etching technique. YBaCuO thin films on LaAlO3 substrate were patterned as a three-terminal device by a conventional wet etching method and the ICP system. The characteristics of a fabricated device were investigated by examining the IV curves under various applied currents. The control current dependence of the transresistance was also measured. The SFFT with a channel fabricated by the ICP system showed a transistor-like characteristic over the liquid nitrogen temperature.  相似文献   

10.
针对氢基硅倍半氧烷(hydrogen silsesquioxane,HSQ)作为深反应离子刻蚀(DRIE)掩膜形成大高宽比纳米硅立柱的工艺进行了系统研究。优化了刻蚀工艺中线圈功率、极板功率和气体流量参数,减小了横向刻蚀,使形貌垂直性得到了更好的控制,并实现了13.3 m高度和低侧壁粗糙度的垂直硅纳米柱阵列,其高宽比(高度/半高宽)达到了36。利用不同的刻蚀工艺条件得到了不同侧壁形貌以及不同尺寸、高度的硅纳米柱结构。  相似文献   

11.
针对氢基硅倍半氧烷(hydrogen silsesquioxane,HSQ)作为深反应离子刻蚀(DRIE)掩膜形成大高宽比纳米硅立柱的工艺进行了系统研究。优化了刻蚀工艺中线圈功率、极板功率和气体流量参数,减小了横向刻蚀,使形貌垂直性得到了更好的控制,并实现了13.3 m高度和低侧壁粗糙度的垂直硅纳米柱阵列,其高宽比(高度/半高宽)达到了36。利用不同的刻蚀工艺条件得到了不同侧壁形貌以及不同尺寸、高度的硅纳米柱结构。  相似文献   

12.
In order to optimize the process of plasma spheroidization of the lithium micro-powder, a 2-D model of inductively coupled thermal plasma torch is presented. The calculating domain of the electromagnetic field is extended to the air region outside the plasma discharge region and multi-physics coupling calculation was performed by using COMSOL software. The plasma electromagnetic field, temperature and velocity distributions are obtained. The physical mechanism of distributions is analyzed. The simulation found that there are two sets of symmetrical reflux vortices in the coil section of the plasma region. The velocity distribution which locates in the center of coil section and closes to the wall of confinement tube is in disorder. The plasma particles hit the wall along the radial direction. Disorderly flow may cause a rupture area along the circular tube wall. Based on the simulation results, it is proposed that in the process of inductively coupled plasma spheroidization, powder injection port can be moved down to avoid the upper reflux vortex.  相似文献   

13.
为了优化锂微粉等离子体球化的工艺,对感应耦合等离子体炬进行二维建模,将电磁场计算域扩展到等离子体放电区域之外的空气区域,利用COMSOL 软件进行多物理场模拟。得到了等离子体的电磁场、温度和速度分布,并对分布形成的物理机制进行分析。模拟发现等离子体区域线圈段存在上下两组对称的回流涡,线圈段中部靠近约束管处等离子体速度分布杂乱,有激烈的径向打壁现象,乱流预计会对约束管壁相应位置造成一条环状的破裂效果。基于模拟结果,提出在采用感应耦合等离子体球化锂微粉的工艺工程中,可以将注粉口下移,绕开上回流涡。  相似文献   

14.
将等离子体作为磁流体,考虑其流体属性和电磁属性,介绍了利用FLUENT软件包并将其进行二次开发,解算电磁场方程、质量连续性方程、动量守恒方程、以及能量守恒方程的数值模拟方法,得到了以磁矢势为表达形式的电磁场分布、温度分布和速度分布.数值模拟了粉末球化所用的感应耦合等离子体炬电磁场分布、温度分布、速度分布.分析了温度分布、速度分布产生的物理原因,为感应耦合等离子体炬球化粉末颗粒提供理论性指导.  相似文献   

15.
The transfer of continuous-relief diffractive structures from resist into diamond by use of direct-write electron-beam lithography followed by dry etching in an inductively coupled plasma is demonstrated. The gases used for the diamond etching are O(2) and Ar. The chemical-vapor-deposited diamond substrate is of optical quality. Our results show that the transfer process generates fairly smooth etched structures. Blazed gratings with periods of 45mum and Fresnel lenses have been manufactured. The blazed gratings have been optically evaluated with a femtosecond laser operating at 400 nm. The diffraction efficiency was 68% in the first order, with a theoretical value of 100%. We intend to investigate the transfer process further and then to fabricate diffractive and refractive elements for use with Nd:YAG high-power lasers.  相似文献   

16.
Palit S  Kirch J  Huang M  Mawst L  Jokerst NM 《Optics letters》2010,35(20):3474-3476
A thin-film InGaAs/GaAs edge-emitting single-quantum-well laser has been integrated with a tapered multimode SU-8 waveguide onto an Si substrate. The SU-8 waveguide is passively aligned to the laser using mask-based photolithography, mimicking electrical interconnection in Si complementary metal-oxide semiconductor, and overlaps one facet of the thin-film laser for coupling power from the laser to the waveguide. Injected threshold current densities of 260A/cm(2) are measured with the reduced reflectivity of the embedded laser facet while improving single mode coupling efficiency, which is theoretically simulated to be 77%.  相似文献   

17.
The gap filling of phase change material has become a critical module in the fabrication process of phase change random access memory (PCRAM) as the device continues to scale down to 45 nm and below. However, conventional physical vapor deposition process cannot meet the nanoscale gap fill requirement anymore. In this study, we found that the pulsed deposition followed by inductively coupled plasma etching process showed distinctly better gap filling capability and scalability than single-step deposition process. The gap filling mechanism of the deposit–etch–deposit (DED) process was briefly discussed. The film redeposition during etching step was the key ingredient of gap filling improvement. We achieved void free gap filling of phase change material on the 30 nm via with aspect ratio of 1:1 by two-cycle DED process. The results provided a rather comprehensive insight into the mechanism of DED process and proposed a potential gap filling solution for 45 nm and below technology nodes for PCRAM.  相似文献   

18.
The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H2 mixtures and at constant bias voltage (−100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH4/H2 mixtures, the etch rate goes through a maximum for 10% CH4 indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH4/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH4 in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.  相似文献   

19.
The problem of construction of quantum multiplexer is discussed. A possible construction based on resonance transport properties of quantum waveguides coupled through small windows is considered. Small apertures play double role of “connecting channels" and “resonant elements". Transmission coefficients for the system are determined. The workability of the device as a quantum switch to one of three (or to two of three) channels is discussed. Control parameters for the switch are electron energy and bias voltage. Received 13 August 2000 and Received in final form 19 February 2001  相似文献   

20.
Single-mode small-core (~2 μm × 2 μm) Y-branch waveguide structures in photosensitive polymer have been fabricated. Y-branch waveguides are designed by the beam propagation method and Y-branch waveguides are obtained on development after a cross-linkable negative tone epoxy SU-8 2002 polymer is exposed to UV through a photomask. Optical Adhesive NOA 61 is used as under- and over-clad. The fabrication process is optimized to avoid polymer residue at the Y-junction. The average insertion loss obtained for a 7.2 mm 1 × 2 device at chip-level is ~13 dB at 1550 nm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号