首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
We have compared low-pressure oxygen RF plasmas and the etching of photoresist in a reactive sputter etch reactor and in a magnetron etch reactor using Langmuir probe, optical emission actinometry, and mass spectrometry measurements. The Langmuir probe data allow the determination of the plasma ion density and electron temperature, and thus the ion flux onto the substrate. The optical data yield information on the presence of O atoms and O2+ ions. Stable reactant and product species are monitored with a mass spectrometer. The main difference between the two reactors is that in magnetron sputter etching (MSE), the ion flux to the substrate is about an order of magnitude higher, under comparable plasma conditions, than in reactive sputter etching (RSE). This accounts for the higher etch rate in MSE. However, the etch yield per ion is higher in RSE because of the higher ion energy. Etch rates correlate neither with the ion flux to the substrate nor with the density of O atoms in the plasma, but change in parallel with the consumption of reactant gas. We conclude that in etching a polymer in a low-pressure oxygen plasma, the main neutral reactant species are O2 molecules, and an important role of the ions is to remove reaction products from the substrate surface.  相似文献   

2.
Mechanical grinding, chemical mechanical polishing (CMP) and dry etching process are integrated to remove sapphire substrate for fabricating thin-film light-emitting diodes. The thinning of sapphire substrate is done by fast mechanical grinding followed by CMP. The CMP can remove or reduce most of the scratches produced by mechanical grinding, recovering both the mechanical strength and wafer warpage to their original status and resulting in a smoother surface. The surface morphology and surface roughness on grinded and polished sapphire substrate are measured by using atomic force microscopy (AFM). The etch rates of sapphire by BCl3-based dry etching are reported. Pattern transfer to the physical and chemical stability of sapphire is made possible by inductively coupled plasma (ICP) etch system that generates high density plasma. The patterning of several microns period in sapphire wafer by using a combination of BCl3/Ar plasma chemistry and SiO2 mask is presented. The anisotropic etch profile formed on sapphire wafer is obtained from scanning electron microscopy (SEM) images.  相似文献   

3.
The dry etching characteristics of bulk, single-crystal zinc-oxide (ZnO) and rf-sputtered indium-zinc-oxide (IZO) films have been investigated using an inductively coupled high-density plasma with different plasma chemistries. The introduction of interhalogens such as ICl, IBr, BI3, and BBr3 to the Ar plasma produced no enhancement of the ZnO and IZO etch rates with respect to physical sputtering in a pure argon atmosphere under the same experimental conditions. In these plasma chemistries, the etch rate of both materials increased with source power and ion energy, indicating that ion bombardment plays an important role in enhancing desorption of etch products. Except in Ar/CH4/H2 discharges, the ZnO etch rate was very similar to that of IZO, which indicates that zinc and indium atoms are driven by a similar plasma etching dynamic. CH4/H2-containing plasmas produced higher etch rates for IZO than for ZnO due to the preferential desorption of the group III etch products. Application of the CH4/H2/Ar plasma to the etching of deep features in bulk, single-crystal ZnO produced highly anisotropic profiles although some trenches were observed near the sidewalls.  相似文献   

4.
The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl2-based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl2/Ar plasma chemistry and SiO2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry.  相似文献   

5.
Two-dimensional etch profiles are modeled for plasma etching. The etch rate dependence on the angle of incidence of the bombarding ions on the etched surface has a sputtering-type yield. The etch profile is advanced in time by an evolution equation for an etch rate proportional to the modified ion energy flux. Approximate analytical expressions for the etch rates are derived as a product of the etch rates in the absence of the sputtering-type yield and a weighting factor that depends on the angle the ion drift velocity makes with the normal to the wafer surface. The weighting factor is determined from experimental measurements of the angular dependence of ion beam etching by sputtering. These etch rates are valid when the ratio of the ion drift speed to the ion thermal speed is large compared to one. The etching is modeled in the ion flux-limited regime for simplicity. The modifications of the shape of etch profiles of a long rectangular trench and a waveguide structure or strip are treated  相似文献   

6.
《Applied Surface Science》2001,169(1-2):52-59
Wet chemical and plasma etch processes were developed for pattering of Sc2O3 films on GaN. Chlorine-based plasma chemistries produced a significant chemical enhancement of removal rate over pure Ar sputtering. The etching was anisotropic and did not significantly alter the surface composition of the Sc2O3 films. Reaction-limited wet etching in the HNO3/HCl/HF system was investigated as a function of solution formulation and temperature. The activation energy for the wet etching ranged from 8 to 14 kcal/mol and the etch rates were independent of solution agitation.  相似文献   

7.
We model the plasma etching of trenches by Langmuir kinetics for neutral molecules and bombarding ions. The parallel combination of an isotropic etch rate for the neutrals and an anisotropic etch rate for the ions gives an effective etch rate. The ion etch rate is proportional to the normal surface component of the ion energy flux. An approximate analytical expression for the composite etch rate offers a new approach to the computation of etch profiles for these mixed systems. Etch profiles are displayed for three cases: the nearly ion flux-limited regime, an intermediate case, and the nearly neutral-flux limited regime for the trench bottom. The numerical calculation of the etch profiles follows from the integration of three characteristic strip equations which are nonlinear first-order ordinary differential equations (ODE's)  相似文献   

8.
MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc2O3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH4/H2/Ar produced etch rates only in the range 20-70 Å/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 Å/min) were obtained with Cl2/Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH4/H2/Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN.  相似文献   

9.
This study is designed to systematically investigate how various factors, such as treatment duration, output power, oxygen gas flux, jet to substrate distance, and moisture regain, influence atmospheric pressure plasma etching rate of polyamide 6 (PA 6) films. The etching rate increased as the output power, oxygen gas flux, and moisture regain increased. As the treatment time increased, the etching rate increased first and then decreased. When the substrate was too close or too far from the nozzle, the etching rate was almost not measurable. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) show an increased surface roughness after the plasma treatment. X-ray photoelectron spectroscopy (XPS) shows a decreased carbon content and an increased oxygen content after the plasma treatment. T-peel strength shows an improved bonding strength between the PA 6 films and an adhesive tape after the plasma treatment.  相似文献   

10.
The dry etching characteristics of bulk single-crystal zinc-oxide (ZnO) and RF-sputtered indium-zinc-oxide (IZO) films have been investigated using an inductively coupled high-density plasma in Ar/IBr and Ar/BI3. In both plasma chemistries, the etch rate of ZnO is very similar to that of IZO, which indicates that zinc and indium atoms are driven by a similar plasma etching dynamics. IBr and BI3-based plasmas show no enhancement of the etch rate over pure physical sputtering under the same experimental conditions. The etched surface morphologies are smooth, independent of the discharge chemistry. From Auger electron spectroscopy, it is found that the near-surface stoichiometry is unchanged within experimental error, indicating a low degree of plasma-induced damage.  相似文献   

11.
Computation of etched track profiles needs the knowledge of the variable track etch rates along the ion trajectories. Using the depth-dependent track etch rates experimentally determined for perpendicularly incident protons, deuterons and alpha particles as well as 7Li, 11B, 12C, 14N and 16O ions of different energies simulations of the track development were performed. Two models of track etching were applied for that purpose recently published in literature. Although the models are based on the same physical fundamentals the results are slightly different. The reasons of the discrepancies were found by analysing the algorithms in detail. Comparison of the calculated track profiles with those determined experimentally from longitudinal sections of the etch pits showed good agreement for non-overetched as well as overetched tracks. The consistency of the whole experimental data set was checked by analysing the correlation of the track etch rates with geometric track parameters for all kinds of ions and etching times covered by the experiments.  相似文献   

12.
A new technique for the rapid quantification of orientation-dependent etch rates, which uses micromachined test patterns and optical microscopy, has been developed. The etching of silicon in KOH etchants with and without isopropanol was studied. Etch rates measured with this technique are in good agreement with conventionally measured rates. In most cases, the etch rate anisotropies are well described by a simple model that is based on step-flow etching. Kinetic Monte Carlo simulations of etching were used to test the simple model and to generate approximate morphologies of the etched surfaces. Vicinal Si(110) surfaces display unusual, orientation-dependent etch rates in some etchants; the functional form of the etch rate anisotropy suggests that a morphological transition occurs on these highly reactive faces. In moderately concentrated KOH solutions where isopropanol is readily soluble, the measured etch rate anisotropies suggest that isopropanol stabilizes step-flow etching.  相似文献   

13.
唐家乐  刘超 《中国物理 B》2022,31(1):18101-018101
Atomic layer etching(ALE)of thin film GaN(0001)is reported in detail using sequential surface modification by BCl3 adsorption and removal of the modified surface layer by low energy Ar plasma exposure in a reactive ion etching system.The estimated etching rate of GaN is~0.74 nm/cycle.The GaN is removed from the surface of AlGaN after 135 cycles.To study the mechanism of the etching,the detailed characterization and analyses are carried out,including scanning electron microscope(SEM),x-ray photoelectron spectroscopy(XPS),and atomic force microscope(AFM).It is found that in the presence of GaClx after surface modification by BCl3,the GaClx disappears after having exposed to low energy Ar plasma,which effectively exhibits the mechanism of atomic layer etch.This technique enables a uniform and reproducible fabrication process for enhancement-mode high electron mobility transistors with a p-GaN gate.  相似文献   

14.
ICP power/RF power, operating pressure, and Cl2/BCl3 gas mixing ratio are altered to investigate the effect of input process parameters on the etch characteristics of GaN films. The etch selectivity of GaN over SiO2 and photoresist is studied. Although higher ICP/RF power can obtain higher GaN/photoresist etch selectivity, it can result in faceting of sidewall and weird sidewall profile due to photoresist mask erosion. Etch rates of GaN and SiO2 decrease with the increase of operating pressure, and etch selectivity of GaN over SiO2 increases with the increasing operating pressure at fixed ICP/RF power and mixture component. The highest etch selectivity of GaN over SiO2 is 7.92, and an almost vertical etch profile having an etch rate of GaN close to 845.3 nm/min can be achieved. The surface morphology and root-mean-square roughness of the etched GaN under different etching conditions are evaluated by atomic force microscopy. The plasma-induced damage of GaN is analyzed using photoluminescence (PL) measurements. The optimized etching process, used for mesa formation during the LED fabrication, is presented. The periodic pattern can be transferred into GaN using a combination of Cl2/BCl3 plasma chemistry and hard mask SiO2. Patterning of the sapphire substrate for fabricating LED with improved extraction efficiency is also possible using the same plasma chemistry.  相似文献   

15.
We present a two dimensional direct simulation Monte Carlo (DSMC) study of the rarefied reactive flow of neutrals and ions in a low pressure inductively coupled plasma reactor. The spatially-dependent rate coefficients of electron impact reactions and the electrostatic field were obtained from a fluid plasma simulation. Neutral and ion etching of polysilicon with chlorine gas was studied with emphasis on the reaction uniformity along the wafer. Substantial gradients in total gas density were observed across the reactor invalidating the commonly made assumption of constant gas density. The flow was nonequilibrium with differences in the species translational temperatures, and 100 K temperature jumps near the walls. When etching was limited by ions the etch rate was highest at the wafer center. When etching was limited by neutrals, the etch rate was highest at the wafer edge. In such case, the etch uniformity changed significantly depending on the reactivity of the ring surrounding the wafer. The ion angular distribution was several degrees off normal and it was different at the wafer edge compared to the rest of the wafer  相似文献   

16.
CH4/H2-based discharges are attractive for dry etching of single crystal ZnO because of their non-corrosive nature. We show that substitution of C2H6 for CH4 increases the ZnO etch rate by approximately a factor of 2 both with and without any inert gas additive. The C2H6/H2/Ar mixture provides a strong enhancement over pure Ar sputtering, in sharp contrast to the case of CH4/H2/Ar. The threshold ion energy for initiating etching is 42.4 eV for C2H6/H2/Ar and 59.8 eV for CH4/H2/Ar. The etched surface morphologies were smooth, independent of the chemistry and the Zn/O ratio in the near-surface region was unchanged within experimental error after etching with both chemistries. The plasma etching improved the band-edge photoluminescence intensity and suppressed the deep level emission from the bulk ZnO under our conditions, due possibly to removal of surface contamination layer.  相似文献   

17.
《Applied Surface Science》2001,169(1-2):27-33
Several different plasma chemistries were investigated for dry etching of TiO2 thin films. Fluorine-based discharges produced the fastest etch rates (∼2000 Å min−1) and selectivities >1 for Si over TiO2. Chlorine-based discharges also showed a chemical enhancement over pure Ar sputtering and had selectivities <1 for Si over TiO2 for a range of plasma conditions. Methane–hydrogen discharges produced very slow etch rates, below those obtained with Ar sputtering. The etched surface morphologies of TiO2 were excellent in all three types of plasma chemistry. Small concentrations (2 at.%) of chlorine- or fluorine-containing residues were identified on the TiO2 surface after Cl2/Ar or SF6/Ar etching, but these residues were water soluble.  相似文献   

18.
19.
《Current Applied Physics》2018,18(9):968-974
Pulse-modulated inductively coupled plasma reactive ion etching of nanometer-scale patterned CoFeB thin films was performed in CH4/O2/Ar gas mixture. As the pulse on-off duty ratio decreased, the etch selectivity of CoFeB/TiN slightly increased and the etch profiles were improved. Moreover, the etch selectivity of the CoFeB films and the etch profiles were improved with the increase in the pulse frequency of the plasma. X-ray photoelectron spectroscopy revealed that during the pulse-modulated etching in the CH4/O2/Ar gas mixture, some polymeric layers were formed on the CoFeB films, which helped prevent the lateral etching and increased the etch selectivity. Consequently, nanometer-scale etching of CoFeB thin films patterned with TiN hard masks could be achieved using pulsed-modulated plasma in CH4/O2/Ar gas mixture.  相似文献   

20.
The effect of inert gas additive (He, Ar, Xe) to CH4/H2 discharges for dry etching of single crystal ZnO was examined. The etch rates were higher with Ar or Xe addition, compared to He but in all cases the CH4/H2-based mixtures showed little or no enhancement over pure physical sputtering under the same conditions. The etched surface morphologies were smooth, independent of the inert gas additive species and the Zn/O ratio in the near-surface region decreases as the mass number of the additive species increases, suggesting preferential sputtering of O. The plasma etching improved the band-edge photoluminescence intensity from the ZnO for the range of ion energies used here (290-355 eV), due possibly to removal of surface contamination layer.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号