首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
双端口RAM及其应用   总被引:3,自引:0,他引:3  
双端口RAM是一种特殊的数据存贮芯片,具有两套完全独立的数据线,地址线、读写控制线,允许两个CPU对双端口RAM的同一单元进行存取;具有两套完成独立的中继逻辑,实现两个CPU间的握手控制信号;具有两套完全独立的“忙”逻辑,保证两个CPU同时对同一单元进行读写操作的正确性。  相似文献   

2.
双端口RAM在单片机系统中的应用   总被引:3,自引:0,他引:3  
阐述了双端口RAM使用的一种方法,当其于双机容错系统时,可简化电路,提高系统的可靠性。本方案经实验证明是有效且实用的。  相似文献   

3.
主要论述关于普通RAM的另一种应用形式。通过适当的时序逻辑设计,将单一数据端口的RAM以双端口的形式进行应用。本文所讨论的是使用单一的RAM完成相同的双端口功能。  相似文献   

4.
本文介绍双端口RAM的特性及使用方法,并给出了在以PC机为主机,以8098单片机为从机的主从式系统中,通过双端口RAM实现高速并行通信的应用实例。  相似文献   

5.
《电子产品世界》2010,(6):79-80
双端口RAM芯片主要应用于高速率、高可靠性、对宴时性要求高的场合,如实现DSP与PCI总线芯片之间的数据交换接口电路等。但普通双端口RAM最大的缺点是在两个CPU发生竞争时,  相似文献   

6.
双端口RAM的并口设计应用   总被引:3,自引:0,他引:3  
IDT7132/7142是一种高速2k×8双端口静态RAM ,它拥有两套完全独立的数据、地址和读写控制线。文中分析了双端口RAM(DPRAM)的设计方案 ,并以IDT7132/7142为例介绍了双端口RAM的时序、竞争和并行通讯接口设计以及在雷达仿真平台中的应用。  相似文献   

7.
基于双口RAM技术的DSP与单片机之间的数据通信   总被引:5,自引:0,他引:5  
随着计算机技术的发展,在工业自动控制中,由于系统的复杂性和要求提高,需要用到不同性能型号的CPU.而如何实现不同CPU之间的数据通信,是系统实现的难点之一.介绍了一种基于双口RAM IDT7130技术的DSP系统和单片机系统的数据通信方法,并对TMS320C32和IDT7130的性能和特点作了介绍,结合具体实例给出了他们之间的硬件接口电路.  相似文献   

8.
本文通过分析双CPU系统中高速数据采集存在的问题,提出了用异步双端口RAM可同时读写访问存储器的解决方案。接着对双端口RAM的内部结构及关键技术进行了阐述,讨论了双端口RAf、d的IP核的设计方法,并通过可编程的FPGA进行实现。该方法将异步RAM用FPGA内部的同步Block RAM来实现,不但充分利用了FPGA的内部资源,而且减少了因信号的毛刺而产生的读写数据错误。最后对其综合仿真结果进行了分析。  相似文献   

9.
本文着重阐述双端口RAM-IDT71342的系统构成和主要性能特点,介绍它的时序,以及Semaphore逻辑的使用。同时举例说明它在多处理器系统中作为共享资源的使用技术。  相似文献   

10.
3G视频手机双CPU间双端口RAM的设计与实现   总被引:2,自引:2,他引:0  
介绍了开发3G移动可视电话系统过程中手机嵌入式系统双CPU结构间双端口RAM通信设计方案。设计采用Cypress公司的双端口静态RAM模块实现Intel PXA270与Qualcomm MSM6280双CPU间高速的数据传输。在低CPU负荷下支持高达7.2Mbit/s数据速率,完全能满足3G手机所要求的可视电话等高速数据业务服务。  相似文献   

11.
在设计多CPU及其他高速数字处理系统时,数据获取及交换是多CPU系统的重要组成部分。当多个CPU对共享内存进行访问时不发生冲突是系统设计能否成功的关键。双口RAM是一种性能优越、能解决访问冲突的器件。本文讨论了以CY7C144为双口RAM在深井泵多CPU并行控制系统中的具体应用。  相似文献   

12.
一种基于双端口RAM的交织器的设计   总被引:1,自引:0,他引:1  
本文详细介绍了一种利用双端口RAM实现交织器的原理和设计,以DVB—C标准中的卷积交织器为例进行说明,最终在FPGA开发平台上进行验证和仿真。  相似文献   

13.
从对信息的高速处理的要求出发,结合较为流行的双口RAM,介绍了设计双CPU控制系统的方法及要点,并给出了关键部分的典型实现。  相似文献   

14.
双单片机系统中一种简单的并行数据传输模式   总被引:4,自引:1,他引:3  
在研究了74HC573特点的基础上,首先提出了一种双CPU之间数据交换的方法,然后结合开发实例,给出了实现该数据交换的时续图,该方法只需要增加很少的硬件成本,很适合在单片机系统中采用。  相似文献   

15.
阐述了在数据通信中使用连续相位调制方式时与UART异步端口进行时钟同步的必要性以及同步设计的原理,给出了硬件设计的电路原理图,对Altera公司MAX+PLUSⅡ开发软件的主要优点进行了说明。  相似文献   

16.
赛普拉斯公司推出低功耗的高性能双端口RAM系列CYDM256A16,CYDM128A16,CYDM128A08,CYDM064A16和CYDM064A08,用于移动手机和PDA,,这些新器件能在采用多个处理器处理视频、游戏、电子邮件或音乐的下一代手机中进行高速内部通信。  相似文献   

17.
微波加热相对于传统热传导加热具有内外加热的效果,但其在工业应用中存在加热效率低下、加热不均匀等问题。据此,提出一种基于双端口非同步旋转结构的加热方法,降低端口间的互耦;并基于COMSOL Multiphysics建立了双端口旋转下的微波加热模型,分析了双微波源端口之间的旋转速度对端口间的互耦和加热均匀性的影响,实现了端口间低互耦、高均匀性的加热,同时极大提高了微波加热的效率。  相似文献   

18.
在设计多CPU及其他高速数字处理系统时 ,数据获取及交换是多CPU系统的重要组成部分。当多个CPU对共享内存进行访问时不发生冲突是系统设计能否成功的关键。双口RAM是一种性能优越、能解决访问冲突的器件。本文讨论了以CY7C144为双口RAM在深井泵多CPU并行控制系统中的具体应用  相似文献   

19.
张卫新  单睿  侯朝焕 《微电子学》2003,33(6):537-540
VLIW体系结构是媒体处理器的首选技术。解决处理器内核与访存之间的数据瓶颈,可以采用双Load/Store单元。为此,需要开发具有双端口访问能力的数据高速缓冲存储器。通过分析双端口情况下的系统工作时序、缺失(miss)处理和替换算法,设计并实现了一个4路组相连、容量为16kB的双端口数据高速缓冲存储器。通过在高速缓冲存储器内使用双端口SRAM,使其具有真正双端口并行访问能力,提高了处理器内核的数据吞吐能力。  相似文献   

20.
基于FPGA技术的异步双端口RAM设计与实现   总被引:1,自引:0,他引:1  
1.引言 在高速数据采集和处理系统中,随着采样数据量的增大及信息处理任务的增加,对数据传送的要求也越来越高.在系统或模块间如果没有能够高速传送数据的接口,则在数据传送时极易造成瓶颈堵塞现象,从而影响整个系统对数据的处理能力.所以,高速并行数据接口的研制在信息处理系统中占有非常重要的地位.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号