首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
In this study, we proposed the Al/Al2O3/SmAlO3/SiO2/Si flash memory devices using high-k SmAlO3 film as a charge trapping layer and high-k Al2O3 film as a blocking layer. The structural and morphological features of these films were explored by X-ray diffraction, X-ray photoelectron spectroscopic and atomic force microscopy. The SmAlO3 flash memory devices annealed at 800 °C showed excellent electrical properties, such as a large memory window of ~2.61 V (measured at a sweep voltage range of ±5 V) and a small charge loss of ~7.1% (measured time up to 104 s). In addition, the charge trap centroid and charge trap density were extracted by constant current stress method.  相似文献   

2.
郑志威  霍宗亮  朱晨昕  许中广  刘璟  刘明 《中国物理 B》2011,20(10):108501-108501
In this paper, we investigate an Al2O3/HfSiO stack as the blocking layer of a metal-oxide-nitride-oxide-silicon-type (MONOS) memory capacitor. Compared with a memory capacitor with a single HfSiO layer as the blocking layer or an Al2O3/HfO2 stack as the blocking layer, the sample with the Al2O3/HfSiO stack as the blocking layer shows high program/erase (P/E) speed and good data retention characteristics. These improved performances can be explained by energy band engineering. The experimental results demonstrate that the memory device with an Al2O3/HfSiO stack as the blocking layer has great potential for further high-performance nonvolatile memory applications.  相似文献   

3.
As-deposited HfO2 films were modified by CHF3, C4F8, and mixed C4F8/O2 plasmas in a dual-frequency capacitively coupled plasma chamber driven by radio frequency generators of 60 MHz as the high frequency (HF) source and 2 MHz as the low frequency source (60/2 MHz). The influences of various surface plasma treatments under CHF3, C4F8, and C4F8/O2 were investigated in order to understand the chemical and structural changes in thin-film systems, as well as their influence on the electrical properties. Fluorine atoms were incorporated into the HfO2 films by either CHF3 or C4F8 plasma treatment; meanwhile, the C/F films were formed on the surface of the HfO2 films. The formation of C/F layers decreased the k value of the gate stacks because of its low dielectric constant. However, the addition of O2 gas in the discharge gases suppressed the formation of C/F layers. After thermal annealing, tetragonal HfO2 phase was investigated in both samples treated with CHF3 and C4F8 plasmas. However, the samples treated with O-rich plasmas showed monoclinic phase, which indicated that the addition of O plasmas could influence the Hf/O ratio of the HfO2 films. The mechanism of the t-HfO2 formation was attributed to oxygen insufficiency generated by the incorporation of F atoms. The capacitors treated with C4F8/O2 plasmas displayed the highest k value, which ascribed that the C/F layers were suppressed and the tetragonal phase of HfO2 was formed. Good electrical properties, especially on the hysteresis voltage and frequency dispersion, were obtained because the bulk traps were passivated by the incorporation of F atoms. However, the H-related traps were generated during the CHF3 plasma treatments, which caused the performance degradation. All the treated samples showed lower leakage current density than the as-deposited HfO2 films at negative bias due to the reduced trap-assisted tunneling by the incorporation of F to block the electrons transferring from metal electrode to the trap level.  相似文献   

4.
The thermal stability and the electrical properties of HfO2 and Hf–aluminate films prepared by the pulsed laser deposition technique have been investigated by X-ray diffraction, differential thermal analysis, capacitance–voltage correlation, leakage-current measurements and high-resolution transmission electron microscopy observation, respectively. A crystallization transformation from HfO2 amorphous phase to polycrystalline monoclinic structure occurs at about 500 °C. In contrast, the amorphous structure of Hf–aluminate films remains stable at higher temperatures up to 900 °C. Rapid thermal annealing at 1000 °C for 3 min leads to a phase separation in Hf–aluminate films. Tetragonal HfO2(111) is predominant, and Al2O3 separates from Hf–aluminate and is still in the amorphous state. The dielectric constant of amorphous HfO2 and Hf–aluminate films was determined to be about 26 and 16.6, respectively, by measuring a Pt/dielectric film/Pt capacitor structure. A very small equivalent oxide thickness (EOT) value of 0.74 nm for a 3-nm physical thickness Hf–aluminate film on a n-Si substrate with a leakage current of 0.17 A/cm2 at 1-V gate voltage was obtained. The interface at Hf–aluminate/Si is atomically sharp, while a thick interface layer exists between the HfO2 film and the Si substrate, which makes it difficult to obtain an EOT of less than 1 nm. PACS 77.55.+f; 81.15.Fg; 73.40.Qv  相似文献   

5.
The W(150 nm)/HfO2(5 nm)/Si(100) structures prepared in a single vacuum cycle by rf magnetron sputtering were subjected to rapid thermal annealing in argon. It is found that at an annealing temperature of 950°C, the tungsten oxide WO x phase and the hafnium silicate HfSi x O y phase grow at the W/HfO2 and HfO2/Si(100) interfaces, respectively. Herewith, the total thickness of the oxide layeris 30% larger than that of the initial HfO2 film. In addition, a decrease in the specific capacitance in accumulation C max and in the dielectric constant k (from 27 to 23) is observed. At an annealing temperature of 980°C, intensive interaction between tungsten and HfO2 takes place, causing the formation of a compositionally inhomogeneous Hf x Si y W z O oxide layer and further decrease in C max. It is shown that a considerable reduction in the leakage currents occurs in the W/HfO2/X/Si(100) structures, where X is a nitride barrier layer.  相似文献   

6.
In this experiment, tantalum pentoxide (Ta2O5) was used in a metal/oxide/high-k Ta2O5/oxide/silicon (MOHOS) novel nanocrystal memory as a trapping layer. Post-annealing treatment, which can passivate defects and improve the material quality of the high-k dielectric, was applied to optimize device performance for a better memory window and faster P/E (program/erase) cycle. Material and electrical characterization techniques including X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and electrical measurements were performed to analyze the device under different annealing conditions. The Ta2O5 charge trapping layer memory annealed at 900 °C had a higher window of 3.3 V in the current-voltage (C-V) hysteresis loop, and a higher charge retention capability than the samples prepared under various annealing conditions. These higher levels were due to the higher probability of deep-level charge trapping and lower leakage current.  相似文献   

7.
The electroforming and unipolar resistive switching characteristics of as-deposited and annealed HfO2 and Hf0.6Si0.4O2 films are reported. The reliability of HfO2 devices is shown to be significantly degraded by annealing at 600 °C, during which the film is observed to crystallize. In contrast, the characteristics of Hf0.6Si0.4O2 devices subjected to the same annealing conditions are found to be unchanged, consistent with the fact that the films remain amorphous. These differences are attributed to the presence of grain boundaries and can have important implications for the use of HfO2 in ReRAM applications.  相似文献   

8.
Sandwich-structure Al2O3/HfO2/Al2O3 gate dielectric films were grown on ultra-thin silicon-on-insulator (SOI) substrates by vacuum electron beam evaporation (EB-PVD) method. AFM and TEM observations showed that the films remained amorphous even after post-annealing treatment at 950 °C with smooth surface and clean silicon interface. EDX- and XPS-analysis results revealed no silicate or silicide at the silicon interface. The equivalent oxide thickness was 3 nm and the dielectric constant was around 7.2, as determined by electrical measurements. A fixed charge density of 3 × 1010 cm−2 and a leakage current of 5 × 10−7A/cm2 at 2 V gate bias were achieved for Au/gate stack /Si/SiO2/Si/Au MIS capacitors. Post-annealing treatment was found to effectively reduce trap density, but increase in annealing temperature did not made any significant difference in the electrical performance.  相似文献   

9.
M. Liu  G. He  Q. Fang  G.H. Li 《Applied Surface Science》2006,252(18):6206-6211
High-k HfO2-Al2O3 composite gate dielectric thin films on Si(1 0 0) have been deposited by means of magnetron sputtering. The microstructure and interfacial characteristics of the HfO2-Al2O3 films have been investigated by using X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR) and spectroscopic ellipsometry (SE). Analysis by XRD has confirmed that an amorphous structure of the HfO2-Al2O3 composite films is maintained up to an annealing temperature of 800 °C, which is much higher than that of pure HfO2 thin films. FTIR characterization indicates that the growth of the interfacial SiO2 layer is effectively suppressed when the annealing temperature is as low as 800 °C, which is also confirmed by spectroscopy ellipsometry measurement. These results clearly show that the crystallization temperature of the nanolaminate HfO2-Al2O3 composite films has been increased compared to pure HfO2 films. Al2O3 as a passivation barrier for HfO2 high-k dielectrics prevents oxygen diffusion and the interfacial layer growth effectively.  相似文献   

10.
The phase composition and morphology of surfaces and cleavages of anodic aluminum oxide (AAO) formed in a complex electrolyte and annealed at 900, 1000, and 1300°C are studied by X-ray diffraction, atomic-force and scanning electron microscopy. It is shown that, depending on the preparation conditions, the following phase states occur: amorphous AAO, the δ phase of Al2O3, and the α phase of Al2O3. The phase transition from the amorphous to the crystalline state under annealing is accompanied by increasing surface area, and the transition from the tetragonal to rhombohedral phase is accompanied by an abrupt decrease in the value of the specific surface and a change in the morphology of the AAO cleaved facets.  相似文献   

11.
Charge trapping characteristics of the metal-insulator-silicon (MIS) capacitors with Si02/HfO2//A12O3 stacked dielectrics are investigated for memory applications'. A capacitance-voltage hysteresis memory window as large as 7.3 V is achieved for the gate voltage sweeping of ±12 V, and a fiat-band voltage shift of 1.5 V is observed in terms of programming under 5 V and I ms. Furthermore, the time- and voltage-dependent charge trapping characteristics are also demonstrated, the former is related to charge trapping saturation and the latter is ascribed to variable tunnelling barriers for electron injecting and discharging under different voltages.  相似文献   

12.
The radiation sensing field effect transistor (RadFET) with SiO2 gate oxide has been commonly used as a device component or dosimetry system in the radiation applications such as space research, radiotherapy, and high-energy physics experiments. However, alternative gate oxides and more suitable packaging materials are still demanded for these dosimeters. HfO2 is one of the most attractive gate oxide materials that are currently under investigation by many researchers. In this study, Monte Carlo simulations of the average deposited energy in RadFET dosimetry systems with different package lid materials for point electron and photon sources were performed with the aim of evaluating the effects of package lids on the sensitivity of the RadFET by using HfO2 as a gate dielectric material. The RadFET geometry was defined in a PENGEOM package and electron–photon transport was simulated by a PENELOPE code. The relatively higher average deposited energies in the sensitive region (HfO2 layer) for electron energies of 250?keV–20?MeV were obtained from the RadFET with the Al2O3 package lid despite of some deviations from the general tendency. For the photon energies of 20–100?keV, the average amount of energy deposited in RadFET with Al2O3 package was higher compared with the other capped devices. The average deposited energy in the sensitive region was quite close to each other at 200?keV for both capped and uncapped devices. The difference in the average deposited energy of the RadFET with different package lid materials was not high for photon energies of 200–1200?keV. The increase in the average deposited energy in the HfO2 layer of the RadFET with Ta package lid was higher compared with the other device configurations above 3?MeV.  相似文献   

13.
ZrO2 nanocrystallites based charge trap memory cells by incorporating a (ZrO2)0.6(SiO2)0.4 film as a charge trapping layer and amorphous Al2O3 as tunneling and blocking layer were prepared and investigated. The precipitation reaction in charge trapping layer forming ZrO2 nanocrystallites during rapid thermal annealing was investigated by transmission electron microscopy. The density and size of ZrO2 nanocrystallites are the critical factors for controlling the charge storage characteristics. The ZrO2 nanocrystallites based memory cells after postannealing at 800 °C for 60 s exhibit the best electrical characteristics and a low charge loss ~5 % after 105 write/erase cycles operation.  相似文献   

14.
We studied the thermal stability of HfO2 on an InP structure when an Al2O3 interface passivation layer (PL) was introduced. In contrast to the thick (~4 nm) Al2O3‐PL, an almost complete disappearance of the thin (~1 nm) Al2O3‐PL was observed after a post‐deposition anneal at 600 °C. Based on various chemical and electrical analyses, this was attributed to the intermixing of the thin Al2O3‐PL with HfO2, which might have been accompanied by the out‐diffusion of a substantial amount of substrate elements. (© 2012 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

15.
HfO2-based metal-oxide semiconductor (MOS) capacitors were irradiated with high-energy ion beam to study the irradiation effects in these films. HfO2 thin films deposited by radio frequency (rf)-sputtering were irradiated with 80 MeV O6+ ions. The samples were irradiated and characterized at room temperature. Devices were characterized via 1 MHz capacitance–voltage (C?V) measurements using the midgap method. The irradiation induced dispersion in accumulation and depletion regions with increasing fluence is observed. After irradiation, the midgap voltage shift (Δ V mg) of?0.61 to?1.92 V, flat band voltage shift (Δ V fb) of?0.48 to?2.88 V and threshold voltage shift (Δ V th) of?0.966 to?1.96 V were observed. The change in interface trap charge and oxide trap charge densities after 80 MeV O6+ ions irradiation with fluences were determined from the midgap to flat band stretch out of C?V curves. The results are reported and explained in terms of changes in microstructure and dielectric properties of the HfO2 thin films after irradiation.  相似文献   

16.
The interfacial reactions and electrical characteristics of stack structures of La2O3 and Al2O3 were investigated as a function of the annealing temperature. In the case of Al2O3/La2O3/Si (ALO structure), the La2O3 in contact with the Si substrate was readily transformed into La-silicate by the diffusion of Si atoms, while in the case of La2O3/Al2O3/Si (LAO structure), interfacial reactions between the Al2O3 layer and the Si substrate were suppressed. After an annealing treatment at 700 °C, the Al2O3 in the ALO structure can play an effective role in blocking the hydration of La2O3, resulting in an unchanged interfacial layer. However, the Al2O3 layer in the LAO structure was unable to suppress the diffusion of Si atoms into the La2O3 film. When the annealing temperature reached 900 °C, both structures showed a similar depth distribution with a high content of Si atoms diffused into the films. The change in the elemental distributions via the diffusion and reaction of Si atoms affected the electrical characteristics at the interface between ALO/LAO structure and Si substrate, specifically the trap charge density (Dit) and band gap (Eg) values.  相似文献   

17.
Characteristics of metal–oxide–high-k–oxide–silicon (MOHOS) memories with oxygen-rich or oxygen-deficient GdO as charge storage layer annealed by NH3 or N2 are investigated. Transmission electron microscopy, X-ray photoelectron spectroscopy and X-ray diffraction are used to analyze the cross-sectional quality, composition and crystallinity, respectively, of the stacked gate dielectric with a structure of Al/Al2O3/GdO/SiO2/Si. The MOHOS capacitor with oxygen-rich GdO annealed in NH3 exhibits a good trade-off among its memory properties: large memory window (4.8 V at ±12 V, 1 s), high programming speed (2.6 V at ±12 V/100 μs), good endurance and retention properties (window degradation of 5 % after 105 program/erase cycles and charge loss of 18.6 % at 85 °C after 10 years, respectively) due to passivation of oxygen vacancies, generation of deep-level traps in the grain boundaries of the GdO layer and suppression of the interlayer between GdO and SiO2 by the NH3 annealing.  相似文献   

18.
The characteristics of resistive switching of TiN/HfO2/Ti/HfO2/Pt/Ti stacks on SiO2/Si substrates were investigated and compared to TiN/HfO2/Pt/Ti stacks in order to study Ti interlayer effects on resistive switching. The Ti interlayers were deposited in situ during the reactive sputtering of HfO2 films. The current–voltage measurements showed that the Ti interlayers enhanced the memory window but reduced the endurance of SET/RESET operations. The energy filtered images by TEM showed asymmetric oxygen accumulation at the Ti/HfO x interfaces. Subsequent heat treatment improved the endurance of SET/RESET operation of TiN/HfO2/Ti/HfO2/Pt/Ti stacks.  相似文献   

19.
In this paper, the engineered tunnel barrier technology is introduced by using the engineered tunnel barrier of VARIOT type (SiO2/Si3N4/SiO2) and CRESTED type (Si3N4/SiO2/Si3N4) with Si3N4 and high-k HfO2 layers as charge trapping layers, respectively. In addition, the high-k stacked VARIOT type of SiO2/HfO2/Al2O3 and Al2O3/HfO2/Al2O3 are compared with O/N/O tunnel barrier memory. As a result, the engineered tunnel barrier memory device showed excellent memory characteristics compared to the single SiO2 tunnel barrier memory device, such as very high P/E (program/erase) speed, good retention time and no degradation in endurance characteristics.  相似文献   

20.
The results of integrated studies of thin-film structures based on silicon and hafnium dioxides on silicon grown by electron-beam evaporation in vacuum are presented. The surface morphology, structural and phase composition of these films depending on the annealing temperature within 500–1100°C are studied. Special consideration is given to the change in the state of the interfaces after annealing. It is determined that annealing in a flow of nitrogen with the addition of oxygen (~10 vol %) at 700°C does not lead to structural and phase changes in the films, but the intensity of the electron paramagnetic resonance (EPR) spectra of uncompensated bonds on the HfO2-Si interface decreased. Annealing at higher temperatures stimulates crystallization of the HfO2 films and hafnium silicate is formed on the SiO2-HfO2 interface and suboxide SiO x appears on the HfO2-Si interface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号