首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We have shown that, for thermally evaporated Ta2O5 or ZrO2 thin films on Si(1 0 0), O2 annealing at 300–500 °C causes the formation of an interfacial silicon oxide layer as thin as 1–2 nm which can be interpreted in terms of their high permeability to oxygen. And we have demonstrated how useful the energy loss spectra of photoexcited electrons from core levels such as O 1s are to measure the energy bandgaps of very thin insulators. With the combination of measured bandgaps and valence band lineups determined for X-ray photoelectron spectroscopy valence band spectra, we have determined the energy band alignments of Ta2O5 and ZrO2 with Si(1 0 0) before and after the O2 annealing at 500 °C. In addition, we have demonstrated that total photoelectron yield spectroscopy provides us direct information to quantify the energy distributions of both the defect states in the high-k dielectrics and the dielectric/Si(1 0 0) interface states over nearly entire Si bandgap.  相似文献   

2.
Silicon oxide (SiO2) and silicon oxynitride (SiOxNy) are two key dielectrics used in silicon devices. The excellent interface properties of these dielectrics with silicon have enabled the tremendous advancement of metal-oxide-semiconductor (MOS) technology. However, these dielectrics are still found to have pronounced amount of localized states which act as electron or hole traps and lead to the performance and reliability degradations of the MOS integrated circuits. A better understanding of the nature of these states will help to understand the constraints and lifetime performance of the MOS devices. Recently, due to the available of ab initio quantum-mechanical calculations and some synchrotron radiation experiments, substantial progress has been achieved in understanding the atomic and electronic nature of the defects in these dielectrics. In this review, the properties, formation and removal mechanisms of various defects in silicon oxide and silicon oxynitride films will be critically discussed. Some remarks on the thermal ionization energies in connection with the optical ionization energies of electron and hole traps, as well as some of the unsolved issues in these materials will be highlighted.  相似文献   

3.
In spite of recent progress on plasma immersion ion implantation (PIII) in semiconductor processing, for example, formation of silicon on insulator and shallow junctions, ion dose, and energy uniformity remains a major concern. We have recently discovered that the sample stage (chuck) design can impact ion uniformity significantly. Using a theoretical model, we have investigated three different chuck designs and conclude that insulators on the stage can alter the adjacent electric field and ion trajectories. Even though the conventional stage design incorporating a quartz shroud reduces the load on the power supply and contamination, it yields ion dose and energy nonuniformity unacceptable to the semiconductor industry. Thus, for semiconductor applications, the stage should be made of a conductor, preferably silicon or silicon coated materials and free of quartz  相似文献   

4.
Laser crystallization is reviewed for the purpose of fabrication of polycrystalline silicon thin film transistors (poly-Si TFTs). Laser-induced rapid heating is important for formation of crystalline films with a low thermal budget. Reduction of electrically active defects located at grain boundaries is essential for improving electrical properties of poly-Si films and achieving poly-Si TFTs with high performances. The internal film stress is attractive to increase the carrier mobility. Recent developments in laser crystallization methods with pulsed and continuous-wave lasers are also reviewed. Control of heat flow results in crystalline grain growth in the lateral direction, which is important for fabrication of large crystalline grains. We also report an annealing method using a high-power infrared semiconductor laser. High-power lasers will be attractive for rapid formation of crystalline films over a large area and activation of silicon with impurity atoms.  相似文献   

5.
Hydrogenated amorphous silicon (a-Si:H) thin films have been considered for use in solar cell applications because of their significantly reduced cost compared to crystalline bulk silicon. However, their overall efficiency and stability are lower than that of their bulk crystalline counterpart. Limited work has been performed on simultaneously solving the efficiency and stability issues of a-Si:H. Previous work has shown that surface texturing and crystallization on a-Si:H thin film can be achieved through a single-step laser processing, which can potentially alleviate the disadvantages of a-Si:H in solar cell applications. In this study, hydrogenated and dehydrogenated amorphous silicon thin films deposited on glass substrates were irradiated by KrF excimer laser pulses and the effect of hydrogen on surface morphologies and microstructures is discussed. Sharp spikes are focused only on hydrogenated films, and the large-grained and fine-grained regions caused by two crystallization processes are also induced by presence of hydrogen. Enhanced light absorptance is observed due to light trapping based on surface geometry changes of a-Si:H films, while the formation of a mixture of nanocrystalline silicon and original amorphous silicon after crystallization suggests that the overall material stability can potentially improve. The relationship between crystallinity, fluence and number of pulses is also investigated. Furthermore, a step-by-step crystallization process is introduced to prevent the hydrogen from diffusing out in order to reduce the defect density, and the relationship between residue hydrogen concentration, fluence and step width is discussed. Finally, the combined effects show that the single-step process of surface texturing and step-by-step crystallization induced by excimer laser processing are promising for a-Si:H thin-film solar cell applications.  相似文献   

6.
The low-temperature fabrication of silicon nitride films by ArF excimer laser irradiation has been studied. Two fabrication methods are presented. One is photoenhanced direct nitridation of a silicon surface with NH3 for very thin gate insulators, and the other is photo-enhanced deposition of silicon nitride films with Si2H6 and NH3 gases for stable passivation films. The ArF excimer laser irradiation dissociates the NH3 gas producing NH and NH2 radicals which proved effective in instigating the nitridation reaction. The quality of both films has been much improved and the growth temperature has been lowered by using laser irradiation. These photo-enhanced processes seem to be promising ULSI techniques because they do not depend on high temperatures and are free from possible reactor contamination.  相似文献   

7.
Atomic transport in thermal growth of thin and ultrathin silicon oxide, nitride, and oxynitride films on Si is reviewed. These films constitute the gate dielectrics, the “heart” of silicon metal-oxide-semiconductor field-effect transistor (MOSFET) and dynamic random-access memory (DRAM) devices, which are usually thermally grown on the active region of the semiconductor Si substrate. The drive of ultra-large scale integration towards the 0.18 μm channel length and below requires gate dielectrics with thicknesses of 3–4 nm and less, establishing new and very strict material requirements. Knowledge on an atomic scale of dielectric film growth promoted by thermally activated transport mechanisms is essential to the engineering of this fabrication step. In the case of thermal growth of silicon oxide films on Si in dry O2, the mobile species is O2 and growth is essentially a diffusion–reaction phenomenon. The thermal growth of silicon nitride and oxynitride films on Si in NH3, NO and N2O, on the other hand, involves catalytic dissociation of the original gas molecules at the surfaces and interfaces and diffusion–reaction of different resulting species, like NH2, NH, H, N, NO, O, and O2. Hydrogen transport and incorporation is a crucial, ubiquitous issue in thermally grown dielectric films on Si which is also addressed here. A recall is made of the physico-chemical constitution of the involved surfaces and interfaces for each different dielectric material, as well as complementary studies of the gas, gas-surface, and solid phase chemistry. An outline of the unique tools of isotopic substitution and high resolution depth profiling is included.  相似文献   

8.
Besides plasma etching of through-wafer interconnects in wafer stacks for vertical integration of chips, fabrication of platinum (Pt) electrodes with non-tapered sidewalls for the storage node in modern memories (DRAMs and FeRAMs) is one of the most challenging tasks of plasma process technology today. This paper describes the achievement of vertical integration of chips by plasma etching of high aspect ratio interchip vias. The etching processes for dielectrics, single crystal silicon, and the organic glue layer were all optimized for minimum reactive ion etching (RIE) lag i.e. for minimum decrease of etch rate with increasing etch depth. Furthermore the fabrication of perfect Pt electrodes for modern DRAMs and FeRAMs is reported. Vertical Pt profiles were achieved by plasma processing with resist mask. In this novel approach, the build-up of thin redepositions of Pt onto the sidewalls of the resist, obtained as a result of processing in pure Ar plasmas, is utilized to achieve a sidewall steepness of the patterned Pt film which is determined by the steepness of the pre-etch resist profile. After pattern transfer and resist stripping, the portion of the redepositions protruding above the fabricated storage node was completely removed by chemical mechanical polishing.  相似文献   

9.
High average power, high repetition rate femtosecond lasers with μJ pulse energies are increasingly used for material processing applications. The unique advantage of material processing with sub-picosecond lasers is efficient, fast and localized energy deposition, which leads to high ablation efficiency and accuracy in nearly all kinds of solid materials. This work focuses on the machining of high aspect ratio structures in transparent dielectrics, in particular chemically strengthened Xensation? glass from Schott using multi-pass ablative material removal. For machining of high aspect ratio structures, among others needed for cutting applications, a novel method to determine the best relation between kerf width and number of overscans is presented. The importance of this relation for optimization of the machining throughput will be demonstrated.  相似文献   

10.
We review experimental advances in the study of the electron transport in three-dimensional topological insulators with emphasis on experiments that attempted to identify the surface transport. Recent results on transport properties of topological insulator thin films will be discussed in the context of weak antilocalization and electron-electron interactions. Current status of gate-voltage control of the chemical potential in topological insulators will also be described.  相似文献   

11.
刘玉荣  王智欣  虞佳乐  徐海红 《物理学报》2009,58(12):8566-8570
以高掺杂Si单晶片作为栅电极, 热生长SiO2作为栅介质层, 聚三己基噻吩薄膜作为半导体活性层, Au作为源、漏电极, 并采用十八烷基三氯硅烷(OTS)对栅介质表面改性, 在空气环境下成功地制备出高性能聚合物薄膜晶体管. 结果表明, 通过采用OTS对栅介质层表面修饰大幅度地改善了聚合物薄膜晶体管的电性能, 器件的场效应迁移率高达0.02 cm2/(Vs), 开关电流比大于105. 关键词: 聚合物薄膜晶体管 聚三己基噻吩 场效应迁移率 表面修饰  相似文献   

12.
From first-principles calculations, we predict that specific transition metal (TM) atom-adsorbed silicon nanowires have a half-metallic ground state. They are insulators for one spin direction, but show metallic properties for the opposite spin direction. At high coverage of TM atoms, ferromagnetic silicon nanowires become metallic for both spin directions with high magnetic moment and may have also significant spin polarization at the Fermi level. The spin-dependent electronic properties can be engineered by changing the type of adsorbed TM atoms, as well as the diameter of the nanowire. Present results are not only of scientific interest, but also can initiate new research on spintronic applications of silicon nanowires.  相似文献   

13.
An attempt has been made to achieve the crystallization of silicon thin film on metallic foils by long pulse duration excimer laser processing. Amorphous silicon thin films (100 nm) were deposited by radiofrequency magnetron sputtering on a commercial metallic alloy (N42-FeNi made of 41 % of Ni) coated by a tantalum nitride (TaN) layer. The TaN coating acts as a barrier layer, preventing the diffusion of metallic impurities in the silicon thin film during the laser annealing. An energy density threshold of 0.3 J?cm?2, necessary for surface melting and crystallization of the amorphous silicon, was predicted by a numerical simulation of laser-induced phase transitions and witnessed by Raman analysis. Beyond this fluence, the melt depth increases with the intensification of energy density. A complete crystallization of the layer is achieved for an energy density of 0.9 J?cm?2. Scanning electron microscopy unveils the nanostructuring of the silicon after laser irradiation, while cross-sectional transmission electron microscopy reveals the crystallites’ columnar growth.  相似文献   

14.
Samples formed of a thin metal film deposited on silicon single crystal were annealed with electron and laser (ruby and excimer) pulses over a wide range of fluences. From a comparison of the experimental results with the temperature profiles of the irradiated samples, it turns out that suicide formation starts when the metal/silicon interface reaches the lowest eutectic temperature of the binary metal/silicon system. The growth rate of reacted layers is of the order of 1 m/s.  相似文献   

15.
红荧烯薄膜生长及稳定性的研究   总被引:1,自引:1,他引:0       下载免费PDF全文
利用原子力显微镜研究了二氧化硅衬底上红荧烯薄膜的生长及稳定性。在较低沉积速率下,较低衬底温度时,红荧烯分子有充足的扩散时间,利于薄膜的横向生长,形成连续性、均匀性较好的薄膜。快速蒸镀及较高衬底温度使红荧烯薄膜转变为纵向生长模式,形成团粒状岛。横向生长的红荧烯薄膜在退火和空气中表现为亚稳特性,随着退火温度的升高和空气中放置时间的延长,红荧烯分子会自发地进行质量传输,发生纵向转移,转变为团粒状岛。获得了二氧化硅界面上红荧烯薄膜的生长及亚稳定机制模型。研究结果证明红荧烯分子与二氧化硅界面之间的作用力小于红荧烯分子间的作用力。  相似文献   

16.
文章讨论了三维拓扑绝缘体制备和输运性质研究方面的进展情况.首先介绍了拓扑绝缘体体材料和薄膜的制备,并介绍了文章作者利用分子束外延方法,在硅表面以及高介电常数材料钛酸锶表面生长高质量拓扑绝缘体Bi2Se3薄膜的工作.然后介绍了拓扑绝缘体输运研究的现状,以及文章作者在栅电压调控拓扑绝缘体外延薄膜的化学势和输运性质方面的研究成果.  相似文献   

17.
The electronic and chemical properties of semiconductor materials may be useful in preventing growth of microorganisms. In this article, in vitro methods for assessing microbial growth on semiconductor materials will be presented. The structural and biological properties of silicon wafers coated with zinc oxide thin films were evaluated using atomic force microscopy, X-ray photoelectron spectroscopy, and MTT viability assay. The antimicrobial properties of zinc oxide thin films were established using disk diffusion and CDC Biofilm Reactor studies. Our results suggest that zinc oxide and other semiconductor materials may play a leading role in providing antimicrobial functionality to the next-generation medical devices.  相似文献   

18.
Liping Guo  Aimin Yan 《Optik》2011,122(19):1692-1696
The diffraction properties of a system of multi-layer volume holographic gratings under an ultrashort pulsed beam with arbitrary temporal profiles are investigated using the multi-layer coupled wave theory. The dependence of the pulse profiles of the diffracted beams, diffraction bandwidth and the total diffraction efficiency of the multi-layer system on the temporal profiles of the input ultrashort pulse are investigated. The calculated results indicate that the temporal shape of the input pulsed beams is an important factor in the analysis of the propagation characteristics. The analysis of this paper will be valuable for the applications of pulse shaping, processing and filter.  相似文献   

19.
Excimer-laser crystallization (ELC) is the most commonly employed technology for fabricating low-temperature polycrystalline silicon (LTPS). Investigations on the surface roughness of polycrystalline silicon (poly-Si) thin films have become an important issue because the surface roughness of poly-Si thin films is widely believed to be related to its electrical characteristics. In this study, we develop a simple optical measurement system for rapid surface roughness measurements of poly-Si thin films fabricated by frontside ELC and backside ELC. We find that the incident angle of 20° is a good candidate for measuring the surface roughness of poly-Si thin films. The surface roughness of polycrystalline silicon thin films can be determined rapidly from the reflected peak power density measured by the optical system developed using the prediction equation. The maximum measurement error rate of the optical measurement system developed is less than 9.71%. The savings in measurement time of the surface roughness of poly-Si thin films is up to 83%. The method of backside ELC is suggested for batch production of low-temperature polycrystalline silicon thin-film transistors due to the lower surface roughness of poly-Si films and higher laser-beam utilization efficiency.  相似文献   

20.
The local stimulation of carbon nanotubes (CNT) growth at the laser-modified sites that have been obtained by excimer laser irradiation at 248 nm causing a local surface modification has been investigated by two different processing methods. The influence of the laser processing parameters on the CNT growth is compared for the irradiation of thin spin-coated iron nitrate films on silicon substrates and the backside irradiation of a fused silica substrate being in contact with an iron nitrate solution. Both techniques cause the formation of catalytic surface sites either by decomposition of the film or by deposition from the solution. For both laser modification approaches the local growth of vertical aligned nanotubes has been observed. In the case of spin-coated film the laser irradiation conditions have only a small influence on the CNT growth whereas at backside modification by means of a solution a strong dependence on the laser processing parameters has been found.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号