首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
One critical area for the adoption of extreme ultraviolet (EUV) lithography is the development of appropriate mask repair strategies. To this end, we have explored focused electron beam-induced deposition of the ruthenium capping or protective layer. Electron beam-induced deposition (EBID) was used to deposit a ruthenium capping/protective film using the liquid bis(ethylcyclopentyldienyl)ruthenium(II) precursor. The carbon to ruthenium atomic ratio in the as-deposited material was estimated to be ~9/1. Subsequent to deposition, we demonstrate an electron stimulated purification process to remove carbon by-products from the deposit. Results indicate that high-fidelity nanoscale ruthenium repairs can be realized.  相似文献   

2.
Over the past decade, focused electron beam-induced deposition has become a mature necessary part of the tool box engineers and scientists. This review presents the current state of the art in sub-10 nm focused electron beam deposition and describes the dominant mechanisms that have been found so far for this regime. Several questions regarding patterning at the highest resolution are addressed. What do our findings mean for using sub-10 nm focused electron beam deposition for industrial applications? And which fundamental issues remain to be solved? The overview shows that low-energy secondary electrons dominate the deposition process. As a result, the highest obtainable spatial resolution (averaged over many deposits) is limited by the mean free path of those electrons. Therefore, the only route to improve the resolution beyond the current appears to be using complexes that are sensitive to the high-energy electrons in the incident beam, rather than to the secondaries. Focused electron beam-induced deposition is compared to related techniques. It is on par with resist-based sub-10 nm electron beam lithography, showing similar spatial resolutions at similar electron doses. Regarding ion beam lithography, there are several distinguishing issues. Sub-10 nm writing has yet to be demonstrated for ion deposition, and although the deposition rate is relatively low when writing with electrons, electrons do not induce damage to the sample. The latter is a crucial advantage for focused electron beam-induced deposition. Finally, the main challenges regarding the applicability of sub-10 nm focused electron beam-induced deposition are discussed.  相似文献   

3.
Electron-beam-induced deposition (EBID) of platinum is used by many researchers. Its main application is the formation of a protective layer and the “welding material” for making a TEM lamella with a focused ion beam thinning process. For this application, the actual composition of the deposition is less relevant, and in practice, both the mechanical strength and the conductivity are sufficient. Another important application is the creation of an electrical connection to nanoscale structures such as nano-wires and graphene. To serve as an electrical contact, the resistivity of the Pt deposited structure has to be sufficiently low. Using the commonly used precursor MeCpPtMe3 for deposition, the resistivity as created by the basic process is 10+5–10+6 higher than the value for bulk Pt, which is 10.6 µΩ cm. The reason for this is the high abundance of carbon in the deposition. To improve the deposition process, much attention has been given by the research community to parameter optimization, to ex situ or in situ removal of carbon by anneal steps, to prevention of carbon deposition by use of a carbon-free precursor, to electron beam irradiation under a high flux of oxygen and to the combination with other techniques such as atomic layer deposition (ALD). In the latter technique, the EBID structures are used as a 1-nm-thick seed layer only, while the ALD is used to selectively add pure Pt. These techniques have resulted in a low resistivity, today approaching the 10–150 µΩ cm, while the size and shape of the structure are preserved. Therefore, now, the technique is ready for application in the field of contacting nano-wires.  相似文献   

4.
Standard practice in electron beam-induced deposition (EBID) is to use precursors designed for thermal processes, such as chemical vapor deposition (CVD). However, organometallic precursors that yield pure metal deposits in CVD often create EBID deposits with high levels of organic contamination. This contamination negatively impacts the deposit’s properties (e.g., by increasing resistivity or decreasing catalytic activity) and severely limits the range of potential applications for metal-containing EBID nanostructures. To provide the information needed for the rational design of precursors specifically for EBID, we have employed an ultra-high vacuum (UHV) surface science approach to identify the elementary reactions of organometallic precursors during EBID. These UHV studies have demonstrated that the initial electron-induced deposition of the surface-bound organometallic precursors proceeds through desorption of one or more of the ligands present in the parent compound. In specific cases, this deposition step has been shown to proceed via dissociative electron attachment, involving low-energy secondary electrons generated by the interaction of the primary beam with the substrate. Electron beam processing of the surface-bound species produced in the initial deposition event usually causes decomposition of the residual ligands, creating nonvolatile fragments. This process is believed to be responsible for a significant fraction of the organic contaminants typically observed in EBID nanostructures. A few ligands (e.g., halogens) can, however, desorb during electron beam processing while other ligands (e.g., PF3, CO) can thermally desorb if elevated substrate temperatures are used during deposition. Using these general guidelines for reactivity, we propose some design strategies for EBID precursors. The ultimate goal is to minimize organic contamination and thus overcome the key bottleneck for fabrication of relatively pure EBID nanostructures.  相似文献   

5.
Thin monolayer and bilayer films of spin cast poly(methyl methacrylate) (PMMA), poly(2-hydroxyethyl methacrylate) (PHEMA), poly(lactic) acid (PLA) and PLA doped with several pharmaceuticals have been analyzed by dynamic SIMS using SF5+ polyatomic primary ion bombardment. Each of these systems exhibited minimal primary beam-induced degradation under cluster ion bombardment allowing molecular depth profiles to be obtained through the film. By combing secondary ion imaging with depth profiling, three-dimensional molecular image depth profiles have been obtained from these systems. In another approach, bevel cross-sections are cut in the samples with the SF5+ primary ion beam to produce a laterally magnified cross-section of the sample that does not contain the beam-induced damage that would be induced by conventional focussed ion beam (FIB) cross-sectioning. The bevel surface can then be examined using cluster SIMS imaging or other appropriate microanalysis technique.  相似文献   

6.
The properties of carbon nanotubes (CNTs) are controlled by their structure and morphology. Therefore, their selective synthesis, using catalytic chemical vapor deposition, requires precise control of a number of parameters including the size and activity of the catalyst nanoparticles. Previously, an environmental scanning transmission electron microscope (ESTEM) has been used to demonstrate that electron beam-induced decomposition (EBID) of Fe containing precursor molecules can be used to selectively deposit Fe catalyst nanoparticles that are active for CNT growth. We have extended these in situ ESTEM observations to further our understanding of the EBID parameters, such as deposition time, and substrate temperature, that control the size and placement of Fe catalyst particles for two precursors, namely diiron nonacarbonyl (Fe(2)(CO)(9)) and ferrocene (Fe(C(5)H(5))(2)). We found that the diameter of deposited particles increased with increasing deposition time. Electron energy-loss spectra, collected during deposition, show the incorporation of C in the Fe particles. The C content decreased as the substrate temperature was increased and was negligible at 100°C for Fe(2)(CO)(9). However, C and Fe were co-deposited at all temperatures (up to 450°C) when Fe(C(5)H(5))(2) was used as an iron source. After deposition, the substrate was heated to the CNT growth temperature in flowing hydrogen to remove the co-deposited C, which was an important step to activate the deposited Fe catalyst for the growth using acetylene. Our measurements revealed that the Fe nanoparticles fabricated from Fe(2)(CO)(9) had higher activity for CNT growth compared to the ones fabricated using Fe(C(5)H(5))(2). We also found that the co-deposited carbon could not be removed by heating in hydrogen in the case of Fe(C(5)H(5))(2). The particles deposited from Fe(C(5)H(5))(2) at 300°C to 450°C formed a core-shell structure with Fe surrounded by graphitic carbon. We speculate that the reduced activity for Fe(C(5)H(5))(2) is due to the C content in the deposit.  相似文献   

7.
In this work, we review the single-adsorbate time-dependent continuum model for focused electron beam-induced deposition (FEBID). The differential equation for the adsorption rate will be expressed by dimensionless parameters describing the contributions of adsorption, desorption, dissociation, and the surface diffusion of the precursor adsorbates. The contributions are individually presented in order to elucidate their influence during variations in the electron beam exposure time. The findings are condensed into three new scaling laws for pulsed exposure FEBID (or FEB-induced etching) relating the lateral resolution of deposits or etch pits to surface diffusion and electron beam exposure dwell time for a given adsorbate depletion state.  相似文献   

8.
A perspective is sketched for the field of focused electron beam-induced processing (FEBIP). The FEBIP lithography technique is compared to the very successful resist-based electron beam lithography (EBL) technique. The advantages of FEBIP over EBL are identified, the main advantage being its high spatial resolution. This will enable FEBIP to become an important lithography technique for the fabrication of devices with critical dimension in the range between 1 and 20 nm and serve as a complementary technique to EBL. It will be discussed what needs to be done to achieve this and what the potential applications are.  相似文献   

9.
Focused electron-beam-induced (FEB-induced) deposition and etching are versatile, direct-write nanofabrication schemes that allow for selective deposition or removal of a variety of materials. Fundamentally, these processes are governed by an electron-induced reaction with a precursor vapor, which may either result in decomposition to a solid deposit or formation of a volatile etch by-product. The ability to induce such localized reactions by placement of a nanometer-sized focused electron probe has recently drawn considerable attention. In response, we have reviewed much of the relevant literature pertaining to both focused electron-beam-induced etching and deposition. Because these nanoscale processing techniques are still in their relative infancy, a significant amount of scientific research is being conducted to understand, and hence improve, the processes. This article summarizes the associated physics of electron-solid-vapor interactions, discusses related physical processes, and provides an introduction to electron-beam-induced etching (EBIE) and electron-beam-induced deposition (EBID). Additionally, specific applications of FEB-induced processes are discussed and several FEB computer model and simulation results are reviewed.  相似文献   

10.
The effect of Ne ion beam etching on the roughness of materials for optical substrates—fused silica and beryllium—is studied. It is shown that the treatment of a fused silica surface by neutralized Ne ions with an energy of 400–800 eV makes it possible to smooth roughnessed in the range of higher spatial frequencies of 3–63 μm–1 at an incidence angle of 0°–30°. For beryllium, the possibility of smoothing the surface roughness at an ion energy of 400 eV is found.  相似文献   

11.
This work presents a feasible route for the facile synthesis of three-dimensional (3D) hierarchical mesocarbon microbead (MCMB) as anodes for lithium ion batteries (LIBs) and sodium ion batteries (SIBs). The MCMB is oxidized by modified hummers method, and then the precursor is treated by hydrogen reduction to form the HMCMB. The HMCMB with graphene-like architecture has high specific surface, sufficient pore volume, and increased interlayer spacing, which can provide more active insertion/extraction sites and reduce the Li+/Na+ diffusion resistance. When employed as anode materials for LIBs and SIBs, HMCMB anodes exhibit improved lithium and sodium storage capability. The HMCMB delivers a higher reversible capacity (471.1 and 177.5 mAh g?1 at 100 mA g?1 after 100 cycles) and a good rate performance (250 and 121 mAh g?1 even at 1000 mA g?1) for LIBs and SIBs, respectively.  相似文献   

12.
ISAC targets     
The TRIUMF-ISAC radioactive ion beam facility was designed and constructed to allow irradiation of thick targets with up to 100  $\upmu $ A proton beam intensities. Since beginning operation in 1998, beam intensities on ISAC targets have progressively increased toward the 100  $\upmu $ A design limit. Routine operation with p?+? intensities up to 75  $\upmu $ A is currently possible for both refractory metal target materials and for composite metal carbide materials; full 99  $\upmu $ A p?+? intensity has been achieved for Nb foil target material. Consideration must be given to the beam power deposition, the power dissipation and the limiting temperature criteria of each target material. Increased beam power dissipation has been achieved by modifying target materials and target containers. Increasing irradiation currents have produced benefits, drawbacks and unexpected results for ISOL operations.  相似文献   

13.
The thin films of CdS1-xSex were successfully deposited over glass substrates by chemical bath deposition technique. Cadmium acetate, thiourea and sodium selenosulfate were used as source materials for Cd2+, S2? and Se2? ions, while 2-mercaptoethanol was used as capping agent. The various deposition conditions such as precursor concentration, deposition temperature, pH and deposition time were optimized for the deposition of CdS1-xSex thin films of good quality and the films were annealed at 200° and 300 °C. The structural, morphological, chemical and optical properties were examined by various characterization techniques and discussed in detail. The optical band gap of CdS1-xSex thin film samples were estimated and found in the range from 2.11 to 1.79 eV for as-deposited and annealed thin films.  相似文献   

14.
In this paper, ZnO microspheres, which are composed of irregular nanoparticles, have been synthesized successfully from a metal-organic precursor. The average diameter is about 3.5 μm and the specific surface area is 7.53 m2 g?1. Measured by electrochemical tests as electrode materials for supercapacitors, the ZnO powders show high specific capacitances (1017.5 Fg?1 at 5 Ag?1 and 562.5 Fg?1 at 50 Ag?1, respectively) and excellent cycling stability (the specific capacitance was kept at 631.2 Fg?1 and 89.2 % retention after 3000 cycles at 18 Ag?1). These results show that the microspherical ZnO could be a potential electrode material for supercapacitors.  相似文献   

15.
This paper describes the laser generation of Ge ion fluxes and their application to the modification of semiconductor materials by ion implantation. The Ge ions were produced by ablating solid targets using the PALS high-power iodine laser system at the PALS Research Centre in Prague, operating at its third harmonic frequency (438 nm wavelength) and producing 0.4 ns pulses with energy up to 0.25 kJ (intensity≤1015 W/cm2). The goal of these investigations was optimisation of the implantation of low and medium energy laser-generated Ge ion fluxes and they were carried out as part of the project PALS000929. Recently, a new repetitive pulse laser system at IPPLM in Warsaw, with a wavelength of 1.06 μm, energy of ~0.8 J in a 3.5 ns-pulse, repetition rate of up to 10 Hz, and intensity on target of up to 1011 W/cm2, has also been employed to produce Ge ions by irradiating solid targets. The laser-generated ions were investigated with diagnostics based on the time-of-flight method: various ion collectors and an electrostatic ion-energy analyzer. The Ge ion fluxes were implanted into Si and SiO2 substrates located at distances of 10–30 cm from the target. The SiO2 films were prepared on single crystal Si substrates and were implanted with Ge ions with different properties. The properties of the Ge-implanted layers, in particular, the depth distributions of implanted Ge ions, were characterised using Rutherford backscattering and other material surface diagnostic methods.  相似文献   

16.
Gold/Nickel bilayer thin films deposited on Si(100) substrates are bombarded by 100 keV 40Ar+, 260 and 300 keV 84Kr2+ and 400 keV 132Xe3+ ions which deposit maximum energy across the Gold/Nickel interface and hence produce maximum atomic transport within two sides of the interface. However, due to the energy of the projectile ions some Si atoms have also gained energy, which, in turn, displaces the atoms. The atomic displacements caused by the projectile in the system has been analyzed using RBS, XRD, SEM/EDS and AFM/MFM techniques. The relative change in the variance of the intermixed region across the Au–Ni–Si interfaces excluding the irradiation-induced surface roughness has been calculated and it was observed that it increases linearly with ion fluence. The measured athermal mixing rates which vary between 3.7 and 6.9 nm4, have been explained by various existing phenomenological models. It shows that local or global thermal spike models are most suitable for explaining the ion beam-induced mixing of systems with strong thermochemical properties of the constituents. The mixing efficiency of this system has been calculated and found to be 1.2 nm5/keV.  相似文献   

17.
The mystery of diamond nucleation by energetic species is resolved via a special deposition scheme. The evolution of the precursor material for diamond nucleation and the development of the nanodiamond crystallites are visualized by high resolution electron microscopy and other spectroscopies. The diamond precipitation and growth are explained in terms of our recently proposed mechanism [Science 297, 1531 (2002)]]: (i) precipitation of sp(3) clusters a small fraction of which are perfect diamond; (ii) growth of diamond crystallites by preferential displacement of amorphous carbon atoms leaving diamond atoms intact. This general scheme is applicable to other materials such as cubic boron nitride.  相似文献   

18.
Lithium-rich cathode material Li[Li0.2Ni0.13Co0.13Mn0.54]O2 doped with trace Mo is successfully synthesized by a sol-gel method. The X-ray diffraction patterns show that trace Mo substitution increases the inter-layer space of the material, of which is benefiting to lithium ion insertion/extraction among the electrode materials. The (CV) tests demonstrate the decrease of polarization, and on the other hand, the lithium ion diffusion coefficient (D Li) of the modified material turns out to be larger, which indicates a faster electrochemical process. As a result, the Mo doped material possesses high rate performance and good cycling stability, and the initial discharge capacity reaches 149.3 mAh g?1 at a current density of 5.0 °C, and the residual capacity is 144.0 mAh g?1 after 50 cycles with capacity retention of 96.5 % in the potential range of 2.0–4.8 V at room temperature.  相似文献   

19.
We have used Auger electron spectroscopy (AES) to investigate the effect of electron beam exposure on growth modes of fullerene (C60) on substrates like Ag and hydrogen-passivated Si(1 1 1). The electron beam comprises of 3.4 keV electrons, which are used in the AES study. To investigate the effect, Auger signal (AS) vs. deposition time (t) measurements were conducted in a sequential mode, i.e., alternating deposition of C60 and analysis using the electron beam. Duration of AES data collection after each deposition was the duration of exposure to electron beam in this experiment. For the growth study of C60 on Ag, three AS-t plots were recorded for three different durations of exposure to electron beam. Changes in the AS-t plot, depending on the duration of exposure to the electron beam, reflect the electron beam-induced damage. Electron beam-induced damages of C60 produce carbon materials of different densities and consequently transmission coefficient (α) of Auger electron through this material changes. In order to fit the AES (AS vs. t) data a model has been used which simultaneously provides the growth mode and the transmission coefficient. Observation of an increasing transmission coefficient with the increasing duration of exposure to the electron beam from α=0.34 to 0.60 indicates the change of the nature of the carbon material due to the partial damage of C60.  相似文献   

20.
This paper presents the results of investigations of three types of carbon structures synthesized by different methods, such as arc discharge plasma enhanced chemical vapor deposition of carbon in a magnetic field, chemical dehydrohalogenation of the poly(vinyl chloride)/poly(vinylidene chloride) precursor, and pulsed plasma ion assisted deposition. It has been found that the samples prepared by different methods have a common feature, i.e., the presence of three-dimensional clusters based on sp2- or sp3-bonds surrounded by quasi-one-dimensional carbon chains. It has been shown that the structure of carbon materials changes depending on the synthesis conditions.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号