首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this paper, the technique of ultrasonic flexural vibration assisted chemical mechanical polishing (UFV-CMP) was used for sapphire substrate CMP. The functions of the polishing pad, the silica abrasive particles, and the chemical additives of the slurry such as pH value regulator and dispersant during the sapphire's UFV-CMP were investigated. The results showed that the actions of the ultrasonic and silica abrasive particles were the main factors in the sapphire material removal rate (MMR) and the chemical additives were helpful to decrease the roughness of sapphire. Then the effects of the flexural vibration on the interaction between the silica abrasive particles, pad and sapphire substrate from the kinematics and dynamics were investigated to explain why the MRR of UFV-CMP was bigger than that of the traditional CMP. It indicated that such functions improved the sapphire's MRR: the increasing of the contact silica particles’ motion path lengths on the sapphire's surface, the enhancement of the contact force between the contact silica particles and the sapphire's surface, and the impaction of the suspending silica particles to the sapphire's surface.  相似文献   

2.
Colloidal silica is formed by titrating active silicic acid into a heated KOH with seed solution. The colloidal silica formation mechanisms are investigated by sampling the heated solution during titration. In the initial stage, the added seeds were dissolved. This might due to the dilution of seed concentration, the addition of potassium hydroxide (KOH) and the heating at 100°C. Homogenous nucleation and surface growth occur simultaneously in the second stage of colloidal silica formation. Homogenous nucleation is more important when the seed concentration is relatively low. On the other hand, surface growth plays an important role when the seed concentration is increased. In the middle seed concentration, the seed particles grow up and some new small particles are born by the homogenous nucleation process to form a bimodal size distribution product. As the titrating volume of active silicic acid exceeds a specific value in the last stage the particle size increases rapidly and the particle number decreases, which may be caused by the aggregation of particles. The intervals between each stage were varied with the seed concentration. Increasing the seed concentration led to the formation of uniform particle size colloidal silica.  相似文献   

3.
Nano-sized ceria particles were coated on the silica surface by the precipitation method using ammonium cerium nitrate and urea as precipitant with poly(vinylpyrrolidone) (PVP) as assistant. The structures and compositions of ceria-coated silica particles were characterized using X-ray diffraction (XRD), field-emission scanning microscopy (FE-SEM), energy dispersive spectroscopy (EDS), transmission electron microscopy (TEM) and dynamic light scattering (DLS) measurements. The results show that nano-size ceria particles were coated uniformly around the surface of silica particles when PVP was used as assistant during coating process, while without PVP, the ceria particles were grown sparsely on the silica particle surface and many ceria particles grow up through independent nucleation in the solution. Then, the chemical mechanical polishing (CMP) behaviors of the as-prepared ceria-coated silica particles on glass substrate were investigated. The CMP test results suggest that the as-prepared ceria-coated silica particles exhibit higher removal rate than pure silica particles without deteriorating the surface quality. In addition, online coefficient of friction (COF) was conducted during the polishing process. The COF data indicate that the COF values of ceria-coated silica particles are larger than those of pure silica particles due to their surface properties.  相似文献   

4.
The as-cutted sapphire wafers are planarized by the grinding and polishing two-step machining processes with micrometer B4C and nanometer silica as abrasives, respectively. The material removal rates (MRRs) of two processes are measured. During the polishing process, the MRR increases with the down-pressure increased, whereas the rotational speeds have less effect on the MRR. The alkaline colloidal silica is more favorable than the acidic to polish sapphire wafer. The ground and polished surfaces of the substrate are compared by scanning electron microscopy, atomic force microscopy, and X-ray rocking curves. Our results show that B4C abrasives are effective in elimination of the ununiformity in thickness within a wafer. The colloidal silica can achieve a nanoscale flatness of wafer, but the lasting polishing time seems unfavorable. The polishing process is also analyzed in terms of chemical mechanical polishing mechanism.  相似文献   

5.
Etching and chemical mechanical polishing (CMP) experiments of the MgO single crystal substrate with an artificial scratch on its surface are respectively performed with the developed polishing slurry mainly containing 2 vol.% phosphoric acid (H3PO4) and 10-20 nm colloidal silica particles, through observing the variations of the scratch topography on the substrate surface in experiments process, the mechanism and effect of removing scratch during etching and polishing are studied, some evaluating indexes for effect of removing scratch are presented. Finally, chemical mechanical polishing experiments of the MgO substrates after lapped are conducted by using different kinds of polishing pads, and influences of the polishing pad hardness on removal of the scratches on the MgO substrate surface are discussed.  相似文献   

6.
Mechanical grinding, chemical mechanical polishing (CMP) and dry etching process are integrated to remove sapphire substrate for fabricating thin-film light-emitting diodes. The thinning of sapphire substrate is done by fast mechanical grinding followed by CMP. The CMP can remove or reduce most of the scratches produced by mechanical grinding, recovering both the mechanical strength and wafer warpage to their original status and resulting in a smoother surface. The surface morphology and surface roughness on grinded and polished sapphire substrate are measured by using atomic force microscopy (AFM). The etch rates of sapphire by BCl3-based dry etching are reported. Pattern transfer to the physical and chemical stability of sapphire is made possible by inductively coupled plasma (ICP) etch system that generates high density plasma. The patterning of several microns period in sapphire wafer by using a combination of BCl3/Ar plasma chemistry and SiO2 mask is presented. The anisotropic etch profile formed on sapphire wafer is obtained from scanning electron microscopy (SEM) images.  相似文献   

7.
Abrasive is one of key influencing factors on the surface quality during the chemical mechanic polishing (CMP). α-Alumina particles, as a kind of widely used abrasive in CMP slurries, often cause to surface defects because of its high hardness. In the present paper, a series of novel alumina/silica core-shell abrasives in slurries were described. The CMP performances of the alumina/silica core-shell abrasives on hard disk substrate were investigated by using a SPEEDFAM-16B-4M CMP equipment. Experimental results indicate that the CMP performances are strong dependent on the coated SiO2 content of the alumina/silica composite abrasives. Slurries containing the alumina/silica composite abrasives exhibited lower surface roughness and waviness as well as lower topographical variations and less scratch than that containing pure alumina abrasive under the same testing conditions.  相似文献   

8.
The sapphire substrates are polished by traditional chemical mechanical polishing (CMP) and ultrasonic flexural vibration (UFV) assisted CMP (UFV-CMP) respectively with different pressures. UFV-CMP combines the functions of traditional CMP and ultrasonic machining (USM) and has special characteristics, which is that ultrasonic vibrations of the rotating polishing head are in both horizontal and vertical directions. The material removal rates (MRRs) and the polished surface morphology of CMP and UFV-CMP are compared. The MRR of UFV-CMP is two times larger than that of traditional CMP. The surface roughness (root mean square, RMS) of the polished sapphire substrate of UFV-CMP is 0.83 Å measured by the atomic force microscopy (AFM), which is much better than 2.12 Å obtained using the traditional CMP. And the surface flatness of UFV-CMP is 0.12 μm, which is also better than 0.23 μm of the traditional CMP. The results show that UFV-CMP is able to improve the MRR and finished surface quality of the sapphire substrates greatly. The material removal and surface polishing mechanisms of sapphire in UFV-CMP are discussed too.  相似文献   

9.
10.
Tungsten is widely used as deposited layer for the multi-level interconnection structures of wafers. The chemical composition of abrasive slurry plays an important role in chemical mechanical polishing (CMP) process. Removal of tungsten is driven by complex oxidation mechanisms between slurry components. The slurry for tungsten CMP generally contains oxidizer, iron catalyst, complexing agents and stabilizers in a pH adjusted solution of abrasive particles. Interaction between iron complex and H2O2 in the slurry is the main factor governing the chemical mode of material removal, oxidation potencies and kinetics.In this study, we investigate the effects of chemical additives in silica (SiO2)-based slurry on the removal rate of the tungsten film. Experiments were carried out in static batch as a preliminary study to understand and optimize chemical mechanisms in CMP-Tungsten process. Experiment designs were conducted to understand the influence of the chemical additives on the main performances of W-CMP. Used slurry, concentrated and retreated with chemical adjustments, is compared to the original slurry as a reference.  相似文献   

11.
Nanoparticles have been widely used in polishing slurry such as chemical mechanical polishing (CMP) process. The movement of nanoparticles in polishing slurry and the interaction between nanoparticles and solid surface are very important to obtain an atomic smooth surface in CMP process. Polishing slurry contains abrasive nanoparticles (with the size range of about 10–100 nm) and chemical reagents. Abrasive nanoparticles and hydrodynamic pressure are considered to cause the polishing effect. Nanoparticles behavior in the slurry with power-law viscosity shows great effect on the wafer surface in polishing process. CMP is now a standard process of integrated circuit manufacturing at nanoscale. Various models can dynamically predict the evolution of surface topography for any time point during CMP. To research, using a combination of individual nanoscale friction measurements for CMP of SiO2, in an analytical model, to sum these effects, and the results scale CMP experiments, can guide the research and validate the model. CMP endpoint measurements, such as those from motor current traces, enable verification of model predictions, relating to friction and wear in CMP and surface topography evolution for different types of CMP processes and patterned chips. In this article, we explore models of the microscopic frictional force based on the surface topography and present both experimental and theoretical studies on the movement of nanoparticles in polishing slurry and collision between nanoparticles, as well as between the particles and solid surfaces in time of process CMP. Experimental results have proved that the nanoparticle size and slurry properties have great effects on the polishing results. The effects of the nanoparticle size and the slurry film thickness are also discussed.  相似文献   

12.
To understand mechanisms of chemical mechanical planarization (CMP), an atomic force microscope (AFM) was used to characterize polished layer surfaces formed by selective transfer after a set of polishing experiments. It is know that in the process of friction of two materials and in the presence of own lubricants, wear phenomenon itself manifests as a transfer of material from an element of a friction couple on the other, this phenomenon being characteristic to the selective transfer process. A selective transfer can be safely achieved in a friction couple, if there is a favorable energy, and in the presence of relative movement, if in the friction area is a material made by copper and the lubricant is adequate (glycerin or special lubricant). The forming selective layer on the contact surfaces makes that the friction force to be very low because of the structure formed by selective transfer. To optimize the CMP process, one needs to obtain information on the interaction between the slurry abrasive particles (with the size range of about 30–70 nm) and the polished surface. To study such interactions, we used AFM. Surface analysis of selective layer using the AFM revealed detailed surface characteristics obtained by CMP. Studying the selective layer CMP, of which the predominated one is copper (in proportion of over 85%), we found that the AFM scanning removes the surface oxide layer in different rates depending on the depth of removal and the pH of the solution. Oxide removal happens considerably faster than the copper CMP removal from the selective layer. This is in agreement with generally accepted models of copper CMP. It was found that removal mechanisms depend on the slurry chemistry, potential per cent of oxidizer, and the applied load. This presentation discusses these findings. Both load force and the friction forces acting between the AFM tip and surface during the polishing process were measured. One big advantage of using the AFM tip (of radius about 50 nm) as abrasive silica particle is that we can measure forces acting between the particle-tip and the surface being polished. Here, we report measurement of the friction force while scratching and polishing. The correlation between those forces and removal rate is discussed.  相似文献   

13.
Scrub, ultrasonic and megasonic are widely used in industry as post-CMP (chemical mechanical polishing/planarization) cleaning procedure. In this paper experiments and results are described to analyze the particle contaminations of hard disk substrate after each process of post-CMP cleaning. A scatter spot method has been exploited to detect the location and characteristics of the particles. SEM with EDX is used to observe and analyze the particles’ shape and size as well as the elements. The results indicate that brush scrub process can remove 99% contaminations after CMP but not that efficient for submicron particle. Megasonic is a refined method for cleaning nano-particles. However, contaminations like metallic particles and bacteria from the equipment may cause pollution. The abrasive particles embedded in the plating pits cannot be removed by mechanical force. Pollution in the dryer is also discussed.  相似文献   

14.
In the chemical mechanical polishing (CMP) process, the complex behaviors of abrasive particles play important roles in the planarization of wafer surface. Particles embedded in the pad remove materials by ploughing, while particles immersed in the slurry by rolling across the wafer surface. In this paper, processes of the particle rolling across a silicon surface with an asperity under various down forces and external driving forces were studied using molecular dynamics (MD) simulation method. The simulations clarified the asperity shape evolution during the rolling process and analyzed the energy changes of the simulation system and the interaction forces acted on the silica particle. It was shown that both the down force and the driving force had important influences on the amount of the material removed. With relatively small down forces and driving forces applied on the particle, the material removal occurred mainly in the front end of the asperity; when the down forces and driving forces were large enough, e.g., 100?nN, the material removal could take place at the whole top part of the asperity. The analysis of energy changes and interaction forces provided favorable explanations to the simulation results.  相似文献   

15.
Recent studies of crystal nucleation and further microstructure formation in colloidal model systems are reviewed. Homogeneous as well as different heterogeneous nucleation scenarios will be discussed. We focus on the crystallization process of one component colloidal model systems with hard sphere like interaction, long range electrostatic interaction and depletion force induced attractive interaction. Heterogeneous crystallization on flat and smooth substrates, on structured substrates, induced by different kind of seed particles as well as inoculation adding a larger amount of seeds will be presented.  相似文献   

16.
何祥  王刚  赵恒  马平 《中国物理 B》2016,25(4):48104-048104
This paper mainly focuses on the influence of colloidal silica polishing on the damage performance of fused silica optics. In this paper, nanometer sized colloidal silica and micron sized ceria are used to polish fused silica optics. The colloidal silica polished samples and ceria polished samples exhibit that the root-mean-squared(RMS) average surface roughness values are 0.7 nm and 1.0 nm, respectively. The subsurface defects and damage performance of the polished optics are analyzed and discussed. It is revealed that colloidal silica polishing will introduce much fewer absorptive contaminant elements and subsurface damages especially no trailing indentation fracture. The 355-nm laser damage test reveals that each of the fused silica samples polished with colloidal silica has a much higher damage threshold and lower damage density than ceria polished samples. Colloidal silica polishing is potential in manufacturing high power laser optics.  相似文献   

17.
Monodisperse silica-coated polystyrene (PS) nano-composite abrasives with controllable size were prepared via a two-step process. Monodisperse positively charged PS colloids were synthesized via polymerization of styrene by using a cationic initiator. In the subsequent coating process, silica formed shell on the surfaces of core PS particles via the ammonia-catalyzed hydrolysis and condensation of tetraethoxysilane. Neither centrifugation/water wash/redispersion cycle process nor surface modification or addition surfactant was needed in the whole process. The morphology of the abrasives was characterized by scanning electron microscope. Transmission electron microscope and energy dispersive X-ray analysis results indicated that silica layer was successfully coated onto the surfaces of PS particles. Composite abrasive has a core-shell structure and smooth surface. The chemical mechanical polishing performances of the composite abrasive and conventional colloidal silica abrasive on blanket copper wafers were investigated. The root mean square roughness decreases from 4.27 nm to 0.56 nm using composite abrasive. The PS/SiO2 core-shell composite abrasives exhibited little higher material removal rate than silica abrasives.  相似文献   

18.
The size, surface charge and agglomeration state of nanoparticles under physiological conditions are fundamental parameters to be determined prior to their application in toxicological studies. Although silica-based materials are among the most promising candidates for biomedical applications, more systematic studies concerning the characterisation before performing toxicological studies are necessary. This interest is based on the necessity to elucidate the mechanisms affecting its toxicity. We present here TEM, SAXS and SMPS as a combination of methods allowing an accurate determination of single nanoparticle sizes. For the commercial material, Ludox TM50 single particle sizes around 30 nm were found in solution. DLS measurements of single particles are rather affected by polydispersity and particles concentration but this technique is useful to monitor their agglomeration state. Here, the influence of nanoparticle concentration, ionic strength (IS), pH and bath sonication on the agglomeration behaviour of silica particles in solution has been systematically investigated. Moreover, the colloidal stability of silica particles in the presence of BSA has been investigated showing a correlation between silica and protein concentrations and the formation of agglomerates. Finally, the colloidal stability of silica particles in standard cell culture medium has been tested, concluding the necessity of surface modification in order to preserve silica as primary particles in the presence of serum. The results presented here have major implications on toxicity investigations because silica agglomeration will change the probability and uptake mechanisms and thereby may affect toxicity.  相似文献   

19.
This paper proposes a novel mathematical model for chemical mechanical polishing (CMP) based on interface solid physical and chemical theory in addition to energy equilibrium knowledge. And the effects of oxidation concentration and particle size on the material removal in CMP are investigated. It is shown that the mechanical energy and removal cohesive energy couple with the particle size, and being a cause of the non-linear size-removal rate relation. Furthermore, it also shows a nonlinear dependence of removal rate on removal cohesive energy. The model predictions are in good qualitative agreement with the published experimental data. The current study provides an important starting point for delineating the micro-removal mechanism in the CMP process at atomic scale.  相似文献   

20.
To improve their chemical mechanical polishing (CMP) performance, ceria nanoparticles were surface modified with γ-aminopropyltriethoxysilane (APS) through silanization reaction with their surface hydroxyl group. The compositions, structures and dispersibility of the modified ceria particles were characterized by Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), field-emission scanning electron microscopy (FE-SEM), energy dispersive spectroscopy (EDS), laser particle size analyzer, zeta potential measurement and stability test, respectively. The results indicated that APS had been successfully grafted onto the surface of ceria nanoparticles, which led to the modified ceria nanoparticles with better dispersibility and stability than unmodified ceria particles in aqueous fluids. Then, CMP performance of the modified ceria nanoparticles on glass substrate was investigated. Experimental results showed that the modified ceria particles exhibited lower material removal rate (MRR) but much better surface quality than unmodified ceria particles, which may be explained by the hardness reduction of ceria particles, the enhancement of lubrication of the particles and substrate surfaces, and the elimination of the agglomeration among the ceria particles.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号