首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
In the present study, a technology for the formation of a submicron GaAs MESFET gate of 0.5–0.1 μm in length and above 0.5 μm in height using a four-layer dielectric dummy gate was developed. Techniques of chemical and plasma-chemical deposition from a gaseous phase, differing in etch rates in a buffer solution of hydrofluoric acid, were used to prepare silicon oxide films. Different constructions of a multilayer structure with varying sequences of layers and thicknesses were studied. The conditions of chemical and plasma-chemical etching of dielectrics allowing a dummy double-T-gate to be formed were determined. The employment of a sophisticatedly shaped dummy gate made it possible to obtain a gate electrode of a large cross section with a low length. The possibility in principle to fabricate a MESFET gate with a length of up to Lg = 0.1 μm using lithographic procedures with a minimal resolution of 1.0 μm was demonstrated.  相似文献   

2.
DPN MOS绝缘栅氮处理技术   总被引:1,自引:0,他引:1  
分析了90nm及其以上技术、栅氧化及其氮处理工艺的局限性,强调了等离子体氮处理技术在90nm及其以下技术中的必要性.介绍了应用材料公司DPN MOS绝缘栅氮处理技术,并出示了部分试验数据.  相似文献   

3.
Effects of the N2-introduced reactive sputtering deposition of metal gate electrodes on the gate leakage current and the dielectric reliability of the W/WNx and W/TiN metal gate MOS capacitors are investigated. The gate dielectric characteristics of W gate MOS capacitor are degraded during the sputtering deposition of the gate electrode. However, the sputtering process-induced degradation of the dielectric characteristics is improved by increasing N2 flow ratio during the deposition of WNx gate electrode. This improvement is considered to be due to the termination of the dangling bonds in the surface-damaged layer in the gate dielectric by the surface nitridation. The nitridation of 1.5 at.% is found to effectively improve both gate leakage characteristics and dielectric reliability of the W/WNx gate MOS capacitor to a level comparable to those of the poly-Si gate. The characteristics of W/WNx gate MOS transistors are also improved by the surface nitridation through the decrease of the gate leakage current. However, the surface nitridation enhances the electron trapping probability under substrate injection, which results in the lower activation energy of CVS–Qbd of metal gate MOS capacitors.  相似文献   

4.
GaAs metal-oxide-semiconductor field-effect transistors (MOSFETs) using wet thermally oxidized InAlP as the gate insulator are reported for the first time. Leakage current measurements show that the 11-nm-thick native oxide grown from an In/sub 0.49/Al/sub 0.51/P layer lattice-matched to GaAs has good insulating properties, with a measured leakage current density of 1.39/spl times/10/sup -7/ mA//spl mu/m/sup 2/ at 1 V bias. GaAs MOSFETs with InAlP native gate oxide have been fabricated with gate lengths from 7 to 2 /spl mu/m. Devices with 2-/spl mu/m-long gates exhibit a peak extrinsic transconductance of 24.2 mS/mm, an intrinsic transconductance of 63.8 mS/mm, a threshold voltage of 0.15 V, and an off-state gate-drain breakdown voltage of 21.2 V. Numerical Poisson's equation solutions provide close agreement with the measured sheet resistance and threshold voltage.  相似文献   

5.
A study of electron and hole mobilities for MOSFET devices fabricated with Hf-Si-O-N gate dielectric, polysilicon gate electrodes and self-aligned source and drain is presented. High effective electron and hole mobilities, 250 cm/sup 2//V/spl middot/s and 70 cm/sup 2//V/spl middot/s, respectively, were measured at high effective field (>0.5 MV/cm). The NMOSFETs have an equivalent oxide thickness (EOT) of 1.3 nm and the PMOSFETs have an EOT of 1.5 nm. The effect of interface engineering on the electron and hole mobilities is discussed.  相似文献   

6.
Organic field effect transistors (OFETs) using crystalline organic semiconductors are of great interest because of their well-defined structural and electronic properties to study the intrinsic charge carrier transport mechanisms in π-conjugated molecular solids, as well as to unravel their potential to be applied as a novel type of electronic device. In the present study, the valence band structure of the channel region of an OFET is proposed based on photoemission results of a well-defined interface between a dielectric molecular monolayer and single crystals of 5,6,11,12-tetraphenyltetracene (rubrene) which is known to exhibit the highest field effect mobility of all organic semiconductors at room temperature. Commensurate growth of clusters of tetratetracontane (TTC; n-C44H90) on the rubrene single crystal surface and their morphological transformation into a uniform overlayer were observed by atomic force microscopy. Photoelectron spectroscopy measurements at various electron take-off angles were then conducted to derive the valance band width of the rubrene single crystal covered by the TTC overlayers. The valence band width at this hetero-interface was found to be equivalent to that of the pristine rubrene, which suggests an unchanged ‘band effective mass ?2(d2E/dk||2)’ of accumulated holes even at the vicinity of hydrocarbon-based gate dielectrics.  相似文献   

7.
The dielectric degradation phenomena in gate oxides of MoSi2/thin n+poly-Si (<100 nm) gate structure which appeared after high-temperature annealing have been analyzed in detail. Analyses included obtaining the correlation between gate oxide dielectric characteristics and various factors like phosphorus concentration in poly-Si, native oxide on poly-Si, sheet resistance of MoSi2, and the SEM or TEM observations of textures of MoSi2, poly-Si, and gate oxide. From analyses, it was concluded that the local reaction of molybdenum silicide with poly-Si under the presence of a barrier, like the thick native oxide on poly-Si formed before MoSi2deposition, results in the damage to a gate oxide through a thin poly-Si layer during annealing. Based upon analytical results, a new MoSi2/thin poly-Si gate process without dielectric degradation has been developed, in which the direct MoSi2deposition on undoped poly-Si to suppress the native oxide growth and phosphorus implantation into MoSi2were introduced. The process provided a good dielectric strength of a gate oxide even to the device with a poly-Si layer as thin as 50 nm, an easy dry etching without undercutting of poly-Si, and stable device characteristics and reliabilities compatible to a conventional poly-Si gate process.  相似文献   

8.
In this paper, a process flow well suited for screening of novel high-k dielectrics is presented. In vacuo silicon capping of the dielectrics excludes process and handling induced influences especially if hygroscopic materials are investigated. A gentle, low thermal budget process is demonstrated to form metal gate electrodes by turning the silicon capping into a fully silicided nickel silicide. This process enables the investigation of rare earth oxide based high-k dielectrics and specifically their intrinsic material properties using metal oxide semiconductor (MOS) capacitors. We demonstrate the formation of nickel monosilicide electrodes which show smooth interfaces to the lanthanum- and gadolinium-based high-k oxide films. The dielectrics have equivalent oxide thicknesses of EOT = 0.95 nm (lanthanum silicate) and EOT = 0.6 nm (epitaxial gadolinium oxide).  相似文献   

9.
Schottky source/drain (S/D) transistors using Pt-germanide and HfO/sub 2//TaN gate stack are fabricated on Ge-substrate with conventional self-aligned top-gate process. It was found that Pt-germanide provides promising properties for p-MOSFET: negative effective hole barrier height, low resistivity, atomically sharp junction with Ge with good morphology. Pt-germanide Ge-p-MOSFETs showed well-behaved I/sub D/-V/sub D/ characteristics and much suppressed I/sub off/ compared to Ni-germanide and conventional heavily doped S/D MOSFETs.  相似文献   

10.
We report material and electrical properties of tungsten silicide metal gate deposited on 12 in. wafers by chemical vapor deposition (CVD) using a fluorine free organo-metallic (MO) precursor. We show that this MOCVD WSix thin film deposited on a high-k dielectric (HfSiO:N) shows a N+ like behavior (i.e. metal workfunction progressing toward silicon conduction band). We obtained a high-k/WSix/polysilicon “gate first” stack (i.e. high thermal budget) providing stable equivalent oxide thickness (EOT) of ∼1.2 nm, and a reduction of two decades in leakage current as compared to SiO2/polysilicon standard stack. Additionally, we obtained a metal gate with an equivalent workfunction (EWF) value of ∼4.4 eV which matches with the +0.2 eV above Si midgap criterion for NMOS in ultra-thin body devices.  相似文献   

11.
High-performance low-temperature poly-Si thin-film transistors (TFTs) using high-/spl kappa/ (HfO/sub 2/) gate dielectric is demonstrated for the first time. Because of the high gate capacitance density and thin equivalent-oxide thickness contributed by the high-/spl kappa/ gate dielectric, excellent device performance can be achieved including high driving current, low subthreshold swing, low threshold voltage, and high ON/OFF current ratio. It should be noted that the ON-state current of high-/spl kappa/ gate-dielectric TFTs is almost five times higher than that of SiO/sub 2/ gate-dielectric TFTs. Moreover, superior threshold-voltage (V/sub th/) rolloff property is also demonstrated. All of these results suggest that high-/spl kappa/ gate dielectric is a good choice for high-performance TFTs.  相似文献   

12.
Conduction mechanisms in MOS gate dielectric films   总被引:1,自引:0,他引:1  
This paper reviews the conduction mechanisms in the gate dielectric films of MOSFETs for VLSI and ULSI technologies. They include Fowler–Nordheim tunneling, internal Schottky (or Pool–Frenkel) effect, two-step (or trap-assisted) tunneling, shallow-trap-assisted tunneling, and band-to-band tunneling. The current transport in the gate dielectric films is manly controlled by film material composition, film processing conditions, film thickness, trap energy level and trap density in the films. In general, for a given gate dielectric film, the current transport behaviors are normally governed by one or two conduction mechanisms.  相似文献   

13.
This paper presents design evolution of a single elliptical dielectric resonator antenna to achieve wide measured impedance band-width extending from 8.26 GHz to 12.15 GHz. Design evolved from a right circular cylindrical DRA by varying the dimension along the semi-minor axis and thereby resulting in an elliptical DRA that supports near similar field distribution over the X-band. This similar field variation is achieved by tuning the eccentricity of the elliptical geometry, the feed position as well as height of the DRA. A fabricated prototype is developed and measured results agree well with that obtained from simulation. Apart from wide impedance bandwidth, the observed pattern bandwidth is also similar.  相似文献   

14.
As the aggressive scaling of the metal-oxide-semiconductor structure continues, new reliability challenges in gate dielectric materials now came across as the gate dielectric thickness will be further down scaled to its technological constraint (<3 nm). Since the interface thickness and the capture cross-section of dielectric traps are not scalable, the nano device structures and the giga-scale circuit architectures call for a fabrication process with ultra-high uniformity and repeatability for devices. These put strengthen constraints on the trap density and chemical composition fluctuations of the gate dielectric materials. This paper reviews several important issues of the dielectric traps in oxynitride. Particularly, the paramagnetic defects (Si, Si---O---O, Si2N), diamagnetic defects (Si---Si, =N---H), dicoordinated Si center (=Si:) and neutral defects (SiO, SiOH, Si---O---O---Si) are discussed in detail based on both the experimental and simulation results.  相似文献   

15.
We report for the first time the performance of ultrathin film fully-depleted (FD) silicon-on-insulator (SOI) CMOS transistors using HfO/sub 2/ gate dielectric and TaSiN gate material. The transistors feature 100-150 /spl Aring/ silicon film thickness and selective epitaxial silicon growth in the source/drain extension regions. TaSiN-gate shows good threshold voltage control using an undoped channel, which reduces threshold voltage variation with silicon film thickness and discrete, random dopant placement. Device processing for CMOS fabrication is drastically simplified by the use of the same gate material for both n- and p-MOSFETs. Electrical characterization results illustrate the combined impact of using high-k dielectric and metal gate on the performance of ultrathin film FD SOI devices.  相似文献   

16.
为了解决电流和模式的基准电路的潜在启动失败问题以及使电路更加低功耗、低复杂度、高稳定性,提出了一种利用数字门电路实现可靠启动的CMOS带隙基准电流源。Spectre仿真表明,在1.8 V电源电压下,功耗为180μW,电路输出20μA参考电流,温度系数为11.9 ppm,线性度为1 054 ppm/V,输出噪声电压为0.1 mV,电源抑制比为-42 dB。采用TSMC0.18μm CMOS工艺流片。测试结果表明,电路能在15.4μs内实现可靠启动,输出参考电压稳定在1.28 V,其温度系数为89 ppm。该基准电流源已经成功地应用于工业自动化无线传感网(WIA)节点芯片的频率综合器中,并取得良好的应用效果。  相似文献   

17.
Efficiency and lifetime of light emitting diodes and laser diodes inversely depend on defect density of the crystal, and reduction of defect density is accomplished by a proper choice of substrate or a deliberate modification of the substrate surface. Buffer growth or nitridation can yield an atomically flat surface and the roughness of a substrate surface for GaN deposition can be controlled by either method such that lateral film growth can be promoted. The effect of nanoscale surface roughness on photoluminescence and crystal quality of GaN/Al2O3 (0001) has been studied. The optimal conditions for N2-nitridation or/and GaN-buffer growth correlate well with the minimum surface roughness and surface morphology as determined by atomic force microscopy and it is suggested that this can be used for process optimization of GaN film growth.  相似文献   

18.
We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal capacitor comprising 10-nm thick binary hafnium-zirconium-oxide (HfxZr1−xO2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfxZr1−xO2 film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the PI. After oxygen plasma pre-treatment and subsequent annealing at 250 °C, the film on the PI substrate exhibited a low leakage current density of 3.22 × 10−8 A/cm2 at −10 V and maximum capacitance densities of 10.36 fF/μm2 at 10 kHz and 9.42 fF/μm2 at 1 MHz. The as-deposited sol-gel film was oxidized when employing oxygen plasma at a relatively low temperature (∼250 °C), thereby enhancing the electrical performance.  相似文献   

19.
We present a study on the characterization and modeling of direct tunneling gate leakage current in both N- and P-type MOSFETs with ultrathin silicon nitride (Si3N4) gate dielectric formed by the jet-vapor deposition (JVD) technique. The tunneling mechanisms in the N- and PMOSFETs were clarified. The electron and hole tunneling masses and barrier potentials for the different tunneling mechanisms mere extracted from measured data using a new semi-empirical model. This model was used to project the scaling limits of the JVD Si 3N4 gate dielectric based on the supply voltages for the various technology nodes and the maximum tolerable direct tunneling gate current for high-performance and low-power applications  相似文献   

20.
The gate dielectrics of Ga2O3(As2O3) of the GaAs MOSFET were prepared by a low-cost and low-temperature liquid-phase chemically enhanced oxidation method. The temperature and oxide thickness dependence of gate dielectric films on GaAs MOSFET have been investigated. The leakage current and dielectric breakdown field were both studied. Both gate leakage current density and breakdown electrical field were found to depend on the oxide thickness and operating temperature. The increasing trend in gate leakage current and the decreasing trend in breakdown electrical field were observed upon reducing oxide thickness from 30 to 12 nm and increasing operating temperature from −50°C to 200°C.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号