首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 687 毫秒
1.
The surface structure, strain energy, and charge profile of the methoxylated Si(111) surface, Si(111)-OCH3, has been studied using quantum mechanics, and the results are compared to those obtained previously for Si(111)-CH3 and Si(111)-C2H5. The calculations indicate that 100% coverage is feasible for Si(111)-OCH3 (similar to the methylated surface), as compared to only approximately 80% coverage for the ethylated surface. These differences can be understood in terms of nearest-neighbor steric and electrostatic interactions. Enthalpy and free energy calculations indicate that the formation of the Si(111)-OCH3 surface from Si(111)-H and methanol is favorable at 300 K. The calculations have also indicated the conditions under which stacking faults can emerge on Si(111)-OCH3, and such conditions are contrasted with the behavior of Si(111)-CH3 and Si(111)-CH2CH3 surfaces, for which stacking faults are calculated to be energetically feasible when etch pits with sufficiently long edges are present on the surface.  相似文献   

2.
Methyl-terminated, n-type, (111)-oriented Si surfaces were prepared via a two-step chlorination-alkylation method. This surface modification passivated the Si surface toward electrochemical oxidation and thereby allowed measurements of interfacial electron-transfer processes in contact with aqueous solutions. The resulting semiconductor/liquid junctions exhibited interfacial kinetics behavior in accord with the ideal model of a semiconductor/liquid junction. In contrast to the behavior of H-terminated Si(111) surfaces, current density vs. potential measurements of CH(3)-terminated Si(111) surfaces in contact with an electron acceptor having a pH-independent redox potential (methyl viologen(2+/+)) were used to verify that the band edges of the modified Si electrode were fixed with respect to changes in solution pH. The results provide strong evidence that the energetics of chemically modified Si interfaces can be fixed with respect to pH and show that the band-edge energies of Si can be tuned independently of pH-derived variations in the electrochemical potential of the solution redox species.  相似文献   

3.
Chlorine-terminated Si(111) surfaces prepared through the wet-chemical treatment of H-terminated Si(111) surfaces with PCl5 (in chlorobenzene) were investigated using ultrahigh vacuum scanning tunneling microscopy (UHV cryo-STM) and tunneling spectroscopy. STM images, collected at 77 K, revealed an unreconstructed 1 x 1 structure for the chlorination layer, consistent with what has been observed for the gas phase chlorination of H-terminated Si(111). However, the wet-chemical chlorination is shown to generate etch pits in the Si(111) surface, with an increase in etch pit density correlating with increasing PCl5 exposure temperatures. These etch pits were assumed to stabilize the edge structure through the partial removal of the <112> step edges. Tunneling spectroscopy revealed a nonzero density of states at zero bias. This is in contrast to the cases of H-, methyl-, or ethyl-terminated Si(111), in which similar measurements have revealed the presence of a large conductance gap.  相似文献   

4.
Low-temperature scanning tunneling microscopy (STM) has been used to image CH(3)-terminated Si(111) surfaces that were prepared through a chlorination/alkylation procedure. The STM data revealed a well-ordered structure commensurate with the atop sites of an unreconstructed 1 x 1 overlayer on the silicon (111) surface. Images collected at 4.7 K revealed bright spots, separated by 0.18 +/- 0.01 nm, which are assigned to adjacent H atoms on the same methyl group. The C-H bonds in each methyl group were observed to be rotated by 7 +/- 3 degrees away from the center of an adjacent methyl group and toward an underlying Si atom. Hence, the predominant interaction that determines the surface structure arises from repulsions between hydrogen atoms on neighboring methyl groups, and secondary interactions unique to the surface are also evident.  相似文献   

5.
An ideally (1x1)-CH(3)(methyl)-terminated Si(111) surface was composed by Grignard reaction of photochlorinated Si(111) and the surface structure was for the first time confirmed by Auger electron spectroscopy, low energy electron diffraction, high-resolution electron energy loss spectroscopy (HREELS), scanning tunneling microscopy (STM), and scanning tunneling spectroscopy (STS). HREELS revealed the vibration modes associated to the CH(3)-group as well as the C-Si bond. STM discerned an adlattice with (1x1) periodicity on Si(111) composed of protrusions with internal features, covering all surface terraces. The surface structure was confirmed to be stable at temperatures below 600 K. STS showed that an occupied-state band exists at gap voltage of -1.57 eV, generated by the surface CH(3) adlattice. This CH(3):Si(111)-(1x1) adlayer with high stability and unique electronic property is prospective for applications such as nanoscale lithography and advanced electrochemistry.  相似文献   

6.
对R3SiX(R=H、CH3; X=F、Cl、Br、I)与NR’3 (R’=H、CH3)的加成物用量子化学密度泛函方法在B3LYP/6-31g(d,p)基组下(X原子采用cep-121g基组)进行了两种加成方式的研究. 一种是NR’3沿Si—X键轴向位置的加成, 另一种是NR’3沿Si—X键侧向接近的加成. 计算结果表明, 前者更稳定且更容易形成加成物; Si上斥电子基团不利于Si—N键的形成, 而N上斥电子基团则有利于Si—N键的形成; NH3-H3SiX系列和N(CH3)3-H3SiX系列均能以两种方式进行加成, NH3-H2(CH3)SiX系列仅能沿Si—X键轴向进行加成, 而NH3-H(CH3)2SiX和NH3-(CH3)3SiX 系列两种方式都不能进行加成; 在同系列加成产物中, 以X=Cl时所得加成物最稳定. 讨论了所有加成物中各键的性能、NBO电荷变化、取代基对加成物结构和稳定性的影响, 并对H3SiX(X=F、Cl、Br、I)与NH3及N(CH3)3加成物在有机溶剂中导电的可能性进行了讨论.  相似文献   

7.
A morphological variation of Au(111) covered with irreversibly adsorbed Sb was investigated with cyclic voltammetry and EC-STM. At open circuit potential (approximately 0.0 V vs a Ag/AgCl reference electrode), the oxygenated Sb layers were formed as an island on the wide terraces and a terrace at the step edges of Au(111). The ultimate morphology at the open circuit potential was a network adlayer with a (radical3 x radical3)R30 degrees atomic arrangement. When the oxygenated layer was reduced, the adsorption features, such as the island, shrunk or disappeared depending on their sizes. This modification was interpreted in terms of an alloy formation of Sb and Au. All of the Sb atoms, however, were not involved in the alloy formation, although the alloyed and unalloyed domains showed (radical3 x radical3)R30 degrees atomic structures with different brightness in EC-STM images. During oxidation of the reduced Sb layers, the alloyed and unalloyed domains of Sb behaved in a different way: the alloyed Sb was stripped to a soluble species to leave pits, while the unalloyed Sb became an oxygenated adspecies, which desorbed very slowly. A long oxidation led to a Au(111) covered with pits and islands of (1 x 1) without any adsorbed Sb.  相似文献   

8.
DTPA (diethylenetriaminepentaacetic acid) is a chelating agent widely used for removal of barium sulfate (barite) scale in the petroleum industry. In this paper we report ex-situ investigations of barite dissolution in deionized water and in 0.18 M DTPA aqueous solutions. Non-contact atomic force microscopy (NC-AFM) was used to observe dissolution on the BaSO4 (001) cleavage surface. Dissolution was carried out at room temperature in a 10 ml reactor. Each sample was first etched in solution and dried before examination by NC-AFM. Dissolution on the BaSO4 (001) surface took place via development of etch pits. In deionized water, triangular etch pits were observed on the (001) terraces at room temperature. And, zigzag shaped etch pits were found at the edges of steps. In DTPA solutions, etch pits on the (001) terraces were observed and these became deeper and longer with increasing time. The geometry of these etch pits was trapezoidal, and/or trapezohedral. To explain this characteristic morphology caused by dissolution we suggest that the active sites of one DTPA molecule bind to two or three Ba2+ cations exposed on the (001) surface.  相似文献   

9.
Fourier transform infrared spectroscopy and first principles calculations have been used to investigate the reaction of atomically smooth, hydrogen-terminated Si(111) (H-Si) surfaces with anhydrous liquid methanol. After 10 min of reaction at room temperature, a sharp absorbance feature was apparent at approximately 1080 cm(-1) that was polarized normal to the surface plane. Previous reports have identified this mode as a Si-O-C stretch; however, the first principles calculations, presented in this work, indicate that this mode is a combination of an O-C stretch with a CH3 rock. At longer reaction times, the intensity of the Si-H stretching mode decreased, while peaks attributable to the O-C coupled stretch and the CH3 stretching modes, respectively, increased in intensity. Spectra of H-Si(111) surfaces that had reacted with CD3OD showed the appearance of Si-D signals polarized normal to the surface as well as the appearance of vibrations indicative of Si-OCD3 surface species. The data are consistent with two surface reactions occurring in parallel, involving (a) chemical attack of hydrogen-terminated Si(111) terraces by CH3OH, forming Si-OCH3 moieties having their Si-O bond oriented normal to the Si(111) surface and (b) transfer of the acidic hydrogen of the methanol to the silicon surface, either through a direct H-to-D exchange mechanism or through a mechanism involving chemical step-flow etching of Si-H step sites.  相似文献   

10.
Transmission infrared spectroscopy (TIRS) has been used to investigate the surface-bound species formed in the two-step chlorination/alkylation reaction of crystalline (111)-oriented Si surfaces. Spectra were obtained after hydrogen termination, chlorine termination, and reaction of the Cl-Si(111) surface with CH(3)MgX or C(2)H(5)MgX (X = Cl, Br) to form methyl (CH(3))- or ethyl (C(2)H(5))-terminated Si(111) surfaces, respectively. Freshly etched H-terminated Si(111) surfaces that were subsequently chlorinated by immersion in a saturated solution of PCl(5) in chlorobenzene were characterized by complete loss of the Si-H stretching and bending modes at 2083 and 627 cm(-1)(,) respectively, and the appearance of Si-Cl modes at 583 and 528 cm(-1). TIRS of the CH(3)-terminated Si(111) surface exhibited a peak at 1257 cm(-1) polarized perpendicular to the surface assigned to the C-H symmetrical bending, or "umbrella" motion, of the methyl group. A peak observed at 757 cm(-1) polarized parallel to the surface was assigned to the C-H rocking motion. Alkyl C-H stretch modes on both the CH(3)- and C(2)H(5)-terminated surfaces were observed near 2900 cm(-1). The C(2)H(5)-terminated Si(111) surface additionally exhibited broad bands at 2068 and 2080 cm(-1), respectively, polarized perpendicular to the surface, as well as peaks at 620 and 627 cm(-1), respectively, polarized parallel to the surface. These modes were assigned to the Si-H stretching and bending motions, respectively, resulting from H-termination of surface atoms that did not form Si-C bonds during the ethylation reaction.  相似文献   

11.
Ultraviolet photoassisted adsorption of terminally double-bonded molecules, allylamine (CH2=CH-CH2-NH2) and 1-butene (CH2=CH-CH2-CH3), on hydrogen-terminated silicon (111) surface was attempted to obtain adsorbates covalently terminating the surface Si atoms. The adsorption process was monitored by high-resolution electron energy loss spectroscopy, multiple internal infrared reflection-absorption spectroscopy, and Auger electron spectroscopy. Allylamine adsorbates emerged upon delivery of allylamine gas under ultraviolet irradiation. The N-H bonds in allylamine were evidenced to survive over the photoadsorption process by vibrational analysis and by the reaction with ketene. CH3- groups were detected at low coverage, indicating anchoring of the organic moieties by the secondary (sec-) type carbon atoms, which were taken over by the primary (n-) type with increasing coverage. C-D bonds were detected after deposition on deuterium-terminated Si(111) upon incorporation of Si-terminating H into the hydrocarbon part of adsorbates. In the case of 1-butene, not only the C=C end but also the CH3- end of a molecule might attach on Si, resulting in emergence of adsorbates composed of CH2 groups. The newly obtained adsorbates are prospective as a material applied for nanolithography, fine electrochemistry, and nano-biotechnology.  相似文献   

12.
High-resolution electron energy loss spectroscopy (HREELS) yielded evidence for the formation of single covalent bonds between Si(111) surface atoms and CH(3) groups from the reaction of CH(3)MgBr and hydrogen-terminated H:Si(111)(1 x 1). The vibration at 678 cm(-)(1), assigned to the C-Si bond, was isolated within the spectrum of CH(3) on deuterium-terminated D:Si(111)(1 x 1). The CH(3) groups were thermally stable at temperatures below 600 K. The C-Si bonds are essential for enhancing the usefulness of alkyl moieties, which will lead to a new prospective technology of nanoscale fabrication and biochemical application.  相似文献   

13.
The reaction of methyl iodide with the Al(111) surface was studied by room-temperature scanning tunneling microscopy (STM) and by first principles calculations. It was found that at 300 K methyl iodide decomposes on the Al(111) surface, forming methyl (CH(3)), methylidyne (CH), and adsorbed iodine. Methyl groups are observed to occupy atop sites by STM. The occupation of the hollow site by methylidyne was observed in STM measurements. Total energy density functional theory calculations have shown that methyl species occupy atop Al sites (E(A) = 45.3 kcal/mol), methylidyne species adsorb on fcc hollow sites (E(A) = 155.0 kcal/mol), while individual iodine atoms can bind on both on-top or hollow sites with adsorption energies between 54 and 56 kcal/mol.  相似文献   

14.
High-resolution soft X-ray photoelectron spectroscopy was used to investigate the oxidation of alkylated silicon(111) surfaces under ambient conditions. Silicon(111) surfaces were functionalized through a two-step route involving radical chlorination of the H-terminated surface followed by alkylation with alkylmagnesium halide reagents. After 24 h in air, surface species representing Si(+), Si(2+), Si(3+), and Si(4+) were detected on the Cl-terminated surface, with the highest oxidation state (Si(4+)) oxide signal appearing at +3.79 eV higher in energy than the bulk Si 2p(3/2) peak. The growth of silicon oxide was accompanied by a reduction in the surface-bound Cl signal. After 48 h of exposure to air, the Cl-terminated Si(111) surface exhibited 3.63 equivalent monoleyers (ML) of silicon oxides. In contrast, after exposure to air for 48 h, CH(3)-, C(2)H(5)-, or C(6)H(5)CH(2)-terminated Si surfaces displayed <0.4 ML of surface oxide, and in most cases only displayed approximately 0.20 ML of oxide. This oxide was principally composed of Si(+) and Si(3+) species with peaks centered at +0.8 and +3.2 eV above the bulk Si 2p(3/2) peak, respectively. The silicon 2p SXPS peaks that have previously been assigned to surface Si-C bonds did not change significantly, either in binding energy or in relative intensity, during such air exposure. Use of a high miscut-angle surface (7 degrees vs < or =0.5 degrees off of the (111) surface orientation) yielded no increase in the rate of oxidation nor change in binding energy of the resultant oxide that formed on the alkylated Si surfaces. Scanning Auger microscopy indicated that the alkylated surfaces formed oxide in isolated, inhomogeneous patches on the surface.  相似文献   

15.
The structure of self-assembled monolayers (SAMs) of undecylenic acid methyl ester (SAM-1) and undec-10-enoic acid 2-bromo-ethyl ester (SAM-2) grown on hydrogen-passivated Si(111) were studied by X-ray reflectivity (XRR), X-ray standing waves (XSW), X-ray fluorescence (XRF), atomic force microscopy, and X-ray photoelectron spectroscopy (XPS). The two different SAMs were grown by immersion of H-Si(111) substrates into the two different concentrated esters. UV irradiation during immersion was used to create Si dangling bond sites that act as initiators of the surface free-radical addition process that leads to film growth. The XRR structural analysis reveals that the molecules of SAM-1 and SAM-2 respectively have area densities corresponding to 50% and 57% of the density of Si(111) surface dangling bonds and produce films with less than 4 angstroms root-mean-square roughness that have layer thicknesses of 12.2 and 13.2 angstroms. Considering the molecular lengths, these thicknesses correspond to a 38 degrees and 23 degrees tilt angle for the respective molecules. For SAM-2/Si(111) samples, XRF analysis reveals a 0.58 monolayer (ML) Br total coverage. Single-crystal Bragg diffraction XSW analysis reveals (unexpectedly) that 0.48 ML of these Br atoms are at a Si(111) lattice position height that is identical to the T1 site that was previously found by XSW analysis for Br adsorbed onto Si(111) from a methanol solution and from ultrahigh vacuum. From the combined XPS, XRR, XRF, and XSW evidence, it is concluded that Br abstraction by reactive surface dangling bonds competes with olefin addition to the surface.  相似文献   

16.
The heat of formation, Delta E, for silicon (111) surfaces terminated with increasing densities of the alkyl groups CH3- (methyl), C2H5- (ethyl), (CH3)2CH- (isopropyl), (CH3)3C- (tert-butyl), CH3(CH2)5- (hexyl), CH3(CH2)7- (octyl), and C6H5- (phenyl) was calculated using quantum mechanics (QM) methods, with unalkylated sites being H-terminated. The free energy, Delta G, for the formation of both Si-C and Si-H bonds from Si-Cl model compounds was also calculated using QM, with four separate Si-H formation mechanisms proposed, to give overall Delta G(S) values for the formation of alkylated Si(111) surfaces through a two step chlorination/alkylation method. The data are in good agreement with measurements of the packing densities for alkylated surfaces formed through this technique, for Si-H free energies of formation, Delta G(H), corresponding to a reaction mechanism including the elimination of two H atoms and the formation of a C=C double bond in either unreacted alkyl Grignard groups or tetrahydrofuran solvent.  相似文献   

17.
1-Propanethiol is chosen as a model alkanethiol to probe detailed mechanisms of the self-assembled monolayer (SAM) formation at aqueous/Au(111) interfaces. The assembly processes, including initial physi- and chemisorption, pit formation, and domain growth, were recorded into movies in real-time with high resolution by in situ scanning tunneling microscopy (STM) under potential control. Two major adsorption steps were disclosed in the propanethiol SAM formation. The first step involves weak interactions accompanied by the lift of the Au(111) surface reconstruction, which depends reversibly on the electrochemical potentials. The second step is chemisorption to form a dense monolayer, accompanied by formation of pits as well as structural changes in the terrace edges. Pits emerged at the stage of the reconstruction lift and increased to a maximum surface coverage of 4.0 +/- 0.4% at the completion of the SAM formation. Well-defined triangular pits in the SAM were found on the large terraces (more than 300 nm wide), whereas few and small pinholes appeared at the terrace edge areas. Smooth edges were converted into saw-like structural features during the SAM formation, primarily along the Au(111) atomic rows. These observations suggest that shrinking and rearrangement of gold atoms are responsible for both formation of the pits and the shape changes of the terrace edges. STM images disclose a (2 square root 3 x 3)R30 degrees periodic lattice within the ordered domains. Along with electrochemical measurements, each lattice unit is assigned to contain four propanethiol molecules exhibiting different electronic contrasts, which might originate in different surface orientations of the adsorbed molecules.  相似文献   

18.
The structure of an octadecyl monolayer formed on a hydrogen-terminated Si(111) surface in neat octadecene was studied by infrared-visible sum frequency generation (SFG) spectroscopy. The SFG spectra in the CH vibration region were dominated by peaks corresponding to those of the methyl group, confirming that the monolayer is essentially in the all-trans conformation. The shapes of the spectra were strongly dependent on the azimuthal angle, and the strength of the asymmetric vibration mode obtained from the theoretical fitting shows threefold symmetry with respect to the azimuthal angle, suggesting the epitaxial arrangement of the monolayer with the Si(111) substrate. The orientation angle of the methyl group estimated from SFG anisotropy was in good agreement with the theoretical prediction.  相似文献   

19.
Pure methoxycarbonylsulfenyl isocyanate, CH3OC(O)SNCO, is quantitatively prepared by the metathesis reaction between CH3OC(O)SCl and AgNCO. This novel species has been obtained in its pure form and characterized by 1H and 13C NMR, UV-vis, FTIR, and FT-Raman spectroscopy. The conformational properties of the gaseous molecule have been studied by vibrational spectroscopy and quantum chemical calculations (B3LYP and MP2 methods). The compound exhibits a conformational equilibrium at room temperature having the most stable form CS symmetry with the C=O double bond synperiplanar with respect to the S-N single bond. A second form was observed in the IR spectrum and corresponds to a conformer possessing the C-S bond antiperiplanar with respect to the N=C double bond of the isocyanate group. The structure of a single crystal of CH3OC(O)SNCO was determined by X-ray diffraction analysis at low temperature using a miniature zone melting procedure. The crystalline solid (triclinic, P1, a = 8.292(6) A, b = 9.839(7) A, c = 11.865(8) A, alpha = 67.290(2) degrees , beta = 71.5570(10) degrees , gamma = 83.4850(10) degrees and Z = 6) shows the presence of molecules having exclusively a synperiplanar conformation with respect to the three phi(CO-C=O), phi(O=C-SN), and phi(CS-N=C) dihedral angles.  相似文献   

20.
Real surface structures of the high-index planes of Pt with three atomic rows of terraces (Pt(331) = 3(111)-(111) and Pt(511) = 3(100)-(111)) have been determined in 0.1 M HClO(4) at 0.1 and 0.5 V(RHE) with the use of surface X-ray scattering (SXS). The surfaces with two atomic rows of terraces, Pt(110) = 2(111)-(111) and Pt(311) = 2(100)-(111) = 2(111)-(100), are reconstructed to a (1 × 2) structure according to previous studies. However, the surfaces with three atomic rows of terraces have pseudo-(1 × 1) structures. The interlayer spacing between the first and the second layers, d(12), is expanded 13% on Pt(331) compared to that of the bulk, whereas it is contracted 37% on Pt(511). The surface structures do not depend on the applied potential on either surface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号