首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
简述一种双闹钟数字时钟电路的设计,具体介绍其中三态输入电路、可逆计数器和输出解码/驱动器等电路的设计。这种数字时钟电路的用途广泛,外围电路简单,并可选择利用电网的50Hz/60Hz作为频率基准的电源,适用于数字钟、钟控收音机产品的应用。  相似文献   

2.
本文针对先进处理器中部件级时钟网络设计面临的时钟网络偏斜难控制、时钟负载重动态功耗大的问题,实现了一种高能效局部时钟网络设计方法,提出了基于考虑负载K-means算法的时钟驱动点位置优化算法TKDLO(Timing driven K-means based Driver Location Optimization),在不影响时序的前提下,实现了局部门控时钟驱动单元的位置优化,降低了时钟网络的偏斜.通过采用不同触发器规模的设计验证,模块级时钟长度可以优化15%以上,时钟偏斜优化30%以上.以访存执行部件的时钟设计为例,本文所提出的局部时钟设计方法,相比于传统CTS的实现方式,在时钟延迟和偏斜方面实现了超过50%的优化,整个设计等效频率提升14%、平均功耗优化28%、最终模块能效提升58.7%;相比于基于触发器聚类的fishbone时钟结构,在15.2%的时钟延迟恶化和5%功耗恶化代价下,使模块的频率提升7.6%,能效优化14.2%.  相似文献   

3.
郭玮  王小波  于冬 《微电子学》2018,48(4):448-451, 457
基于65 nm CMOS工艺,提出了一种能将差分时钟信号驱动到传输线上并且能将全摆幅差分时钟信号转换为低摆幅差分时钟信号的驱动电路。该时钟驱动电路改善了传统驱动电路无法补偿传输线的高频衰减且结构复杂的问题。采用Spectre软件对电路进行了仿真验证。仿真结果表明,所有工艺角下,温度在-40 ℃~125 ℃、电压在1.08~1.32 V范围变化时,该时钟驱动电路可将1 GHz工作频率的时钟信号转换为占空比为50%的低摆幅信号,该低摆幅信号在接收端可恢复为所需的轨到轨差分信号。该时钟驱动电路具有较好的高频传输特性。  相似文献   

4.
《今日电子》2002,(8):63-63
杰尔系统近日推出高集成度的10GB 时钟同步调制器驱动器芯片 TCMD0110G,该芯片为中远距离光纤网 络中的关键部件,整合了一些先前分离 实现的功能,减少了元器件数量并降低 了抖动,从而简化了设计。其中,降低抖 动能提高激光器性能。该驱动器芯片的 目标应用是10GB和12.5GB转发器 (transponder)或光收发器、SONET/ SDH线路卡、光中继器(repeater)及光测 试设备。  相似文献   

5.
6.
7.
8.
本设计提出一种用于对高频时钟进行多级分频的电路,具有低延迟的特点,能满足分频后的时钟和源时钟具有相对同步的相位关系,同时面积相对较优。  相似文献   

9.
简述了一种双闹钟数字时钟芯片的设计分析,具体介绍了其中三态输入电路、可逆计数器、输出解码/驱动器等电路的设计。这种数字时钟芯片用途广泛,外围电路简单,并可以选择利用电网的50/60Hz作为烦率基准和电源,适用于数字钟、钟控收音机产品的应用。  相似文献   

10.
全新的硅锗时钟驱动器提供单片时钟生成和切换解决方案,有效提高计算、联网以及电信系统的可靠性。  相似文献   

11.
In this paper, we propose a new quick and effective legitimate skew clock routing with buffer insertion algorithm. We analyze the optimal buffer position in the clock path, and conclude the sufficient condition and heuristic condition for buffer insertion in clock net. During the routing process, this algorithm integrates buffer insertion and node merging together, and performs them in parallel. Compared with the method of buffer insertion after zero skew clock routing, our method improves the maximal clock delay by at least 48%. Compared with legitimate skew clock routing algorithm with no buffer, this algorithm further decreases the total wire length and gets reductions from 42 to 82% in maximal clock delay. The experimental results show that our algorithm is quick and effective. Xinjie Wei received his B.Sc. degree in Computer Science from the PLA Nanjing Institute of Communications Engineering in 1993, and got M.S. degree in Computer Science from Xidian University in 1998. He is currently pursuing the Ph.D. degree at Tsinghua University. His research interests include computer network security, neural network and design automation for VLSI circuits and systems. And the major research attention is focused on VLSI physical design. Yici Cai received BSc degree in Electronic Engineering from Tsinghua University in 1983 and received in and MS degree in Computer Science & Technology from Tsinghua University in 1986, She has been an associate professor in the Department of Computer Science & Technology, Tsinghua University. Beijing, China. Her research interests include VLSI layout theory and algorithms. Meng Zhao has been an researcher in Semiconductor Industry Association of Beijing. She received her Bachelor of Engineering degree in Electronical Engineering from Tsinghua University, China, in 2000. She received her Master of Science degree in Computer Science from Tsinghua University, China, in 2003. Her research interests include VLSI design and CAD, Electronical material and device, VLSI verification and so on. Xianlong Hong graduated from Tsinghua University, Beijing, China in 1964. Since 1988, he has been a professor in the Department of Computer Science Technology, Tsinghua University. His research interests include VLSI layout algorithms and DA systems. He is the fellow of IEEE and the Senior Member of Chinese Institute of Electronics.  相似文献   

12.
时钟延时及偏差最小化的缓冲器插入新算法   总被引:2,自引:0,他引:2  
曾璇  周丽丽  黄晟  周电  李威 《电子学报》2001,29(11):1458-1462
本文提出了以最小时钟延时和时钟偏差为目标的缓冲器插入新算法.基于Elmore延时模型,我们得到相邻缓冲器间的延时是缓冲器在时钟树中位置的凸函数.当缓冲器布局使所有缓冲器间延时函数具有相同导数值时,时钟延时达到最小;当所有源到各接收端点路径的延时函数值相等时,时钟偏差达到最小.对一棵给定的时钟树,我们在所有从源点到各接收端点路径上插入相同层数的缓冲器,通过优化缓冲器的位置实现时钟延时最小;通过调整缓冲器尺寸和增加缓冲器层数,实现时钟偏差最小.  相似文献   

13.
ASIC后端设计中的时钟偏移以及时钟树综合   总被引:2,自引:0,他引:2  
千路  林平分 《半导体技术》2008,33(6):527-529
目前的ASIC设计中,时钟偏移成为限制系统时钟频率的主要因素,时钟树综合技术通过在时钟网络中插入缓冲器来减小时钟偏移.但是,有时这样做并不能达到系统要求的时钟偏移.以一款SMIC 0.18μm工艺的DVBT数字电视解调芯片为例,分析了时钟偏移的产生原因.介殚绍了使用Synopsys公司Astro工具进行时钟树综合的方法,重点分析了在时钟树综合之前如何设置约束手动优化电路从而改善设计的时序,最后的流片结果证明该方法是有效的.  相似文献   

14.
系统时钟恢复是数字电视系统设计中的难点。从数字电视接收系统出发,根据实际需要深入研究并提出了一种基于动态缓存技术的系统时钟处理方案。  相似文献   

15.
针对ASIC芯片设计中时钟树综合效率和时序收敛的问题,提出了一种高效的时钟树综合方法,特别适用于现代先进深亚微米工艺中的高集成度、高复杂度的设计中。改进了传统时钟树综合方法,通过采用由下至上逐级分步综合的方法实现。该设计方法在SMIC 0.18μm eflash工艺下的一款电力线载波通信芯片中成功流片验证,结果表明分步综合能够在实现传统设计功能的前提下,在完成时序收敛时有效减少不必要的器件插入,从而减小芯片面积,降低整体功耗,有效改善绕线拥塞度。  相似文献   

16.
红外焦平面读出电路(IRFPA ROIC)主要用于焦平面阵列与后续信号处理之间的通信.文章提出了一种用于红外焦平面读出电路的缓冲器模块,包括列缓冲器、高性能的输出缓冲器以及相应的偏置电路.缓冲器均采用单位增益放大器结构,通过放大器的优化设计可实现对不同负载的有效驱动且静态功耗较低.该缓冲器模块用于一款640×512面阵、30μm中心距的中波红外焦平面读出电路,采用CSMC 0.5μm DPTM工艺进行流片加工.仿真结果表明,列缓冲器的开环增益为40.00 dB,单位增益带宽为48.17 MHz(10 pF).输出缓冲器可实现轨到轨的输入,开环增益为39.68 dB,单位增益带宽为46.08 MHz,读出速率高达20 MHz,功耗为16.02 mW(25 pF//5.1 kΩ).该模块输入端拉出的测试管脚可在焦平面读出电路的晶圆测试中帮助验证芯片功能.通过调节测试端口,测试结果与仿真结果大体一致,验证了该缓冲器模块的设计可行.  相似文献   

17.
ASIC后端设计中的时钟树综合   总被引:1,自引:0,他引:1  
时钟树综合是当今集成电路设计中的重要环节,因此在FFT处理器芯片的版图设计过程中,为了达到良好的布局效果,采用时序驱动布局,同时限制了布局密度;为了使时钟偏移尽可能少,采用了时钟树自动综合和手动修改相结合的优化方法,并提出了关于时钟树约束文件的设置、buffer的选型及手动修改时钟树的策略,最终完成了FFT处理器芯片的时钟树综合并满足了设计要求。  相似文献   

18.
用Encounter实现Mesh-Local-Tree结构的时钟设计流程   总被引:1,自引:0,他引:1  
顾琴  林正浩 《半导体技术》2008,33(7):626-629
提出了一种实用的设计流程,即在Cadencd公司的Encounter环境中去实现对网格 本地树(MLT)时钟结构的综合与分析方法.对一个实际工业设计试验的数据表明:运用Clockmesh CRS的综合方式,MLT的时钟架构相对于单一的树结构能够实现更小的时钟偏差(114ps、 171ps).同时,将这种设计流程运用于其他设计中,以比较MLT和CTS不同的设计流程.结果显示,MLT的时钟架构可以实现更小的时钟偏差,同时还可以降低缓冲器的数量,这样也弥补了单一网格结构的功耗问题.  相似文献   

19.
带偏差约束的时钟线网的拓扑构造和优化   总被引:1,自引:0,他引:1  
刘毅  洪先龙  蔡懿慈 《半导体学报》2002,23(11):1228-1232
提出了一种新的拓扑构造和优化方法,综合考虑了几种拓扑构造方法的优点,总体考虑偏差约束,局部进行线长优化.实验结果表明,它可以有效控制节点之间的偏差,同时保证减小时钟布线树的整体线长.  相似文献   

20.
基于BUFGMUX与DCM的FPGA时钟电路设计   总被引:3,自引:2,他引:1  
与ASIC(专用集成电路)的时钟电路相比,基于FPGA(现场可编程门阵列)的时钟电路有其自身的特点。FPGA一般提供专用时钟资源搭建时钟电路,相应的综合工具也能够自动使用这些资源,但是针对门控时钟和时钟分频电路,如果直接使用综合工具自动处理的结果,会造成较大的时钟偏差。通过合理使用DCM(数字时钟管理单元)和BUFG-MUX(全局时钟选择缓冲器)等FPGA的特殊资源,手动搭建时钟电路,可以尽可能地减少时钟偏差对电路时序的影响。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号