首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 78 毫秒
1.
基于蚂蚁算法和遗传算法的时序电路测试生成   总被引:3,自引:0,他引:3  
为提高时序电路的测试生成效率,该文提出一种新的基于蚂蚁算法和遗传算法的时序电路测试矢量生成算法.针对国际标准时序电路的实验结果表明,该交叉算法既充分发挥了两种算法的优点,又克服了各自的缺点,与其它同类测试生成算法相比,获得了较好的故障覆盖率和测试集.说明采用蚂蚁算法和遗传算法的交叉算法是成功的.  相似文献   

2.
基于遗传算法的数字电路测试生成方法   总被引:3,自引:0,他引:3  
本文提出了一种基于遗传算法的数字电路测试图形生成方法,首先把被测电路的门级描述转化为易于计算的非线性网络,然后用遗传算法找到网络能量函数的最优解,从而得到被测电路的测试集.这种方法对可测故障都能生成测试,能方便地产生多故障的测试图形,同时具有较好的并行性,易于在多处理机上实现.  相似文献   

3.
王宇  陈宇 《信息技术》2007,31(9):132-134,137
数字集成电路的快速发展对电路测试提出了日益紧迫的要求,为获得较好的数字电路的故障覆盖率和测试集,减少反向回溯,很多仿生学算法应用到了电路的测试生成当中,现介绍了在测试生成领域中有重大影响的几种仿生优化算法以及各自特点。  相似文献   

4.
基于VHDL语言的数字电路测试码自动生成   总被引:1,自引:0,他引:1  
本文提出了一种新的基于VHDL语言的组合数字电路测试码自动生成方法。在VHDL语言描述组合数字电路的基础上,建一VHDL语言的编译器,并输入为描述被测电路的VHDL语言,输出结果为描述被测电路功能的一系列逻辑表达式。针对这些逻辑表达式,本文详细地介绍了一种能直接产生电路测试码的算法。  相似文献   

5.
基于组合电路测试生成的离散Hopfield神经网络模型,将混沌搜索与Hopfield网络的梯度算法相结合,利用混沌搜索的内随机性及遍历性来克服梯度算法易于陷于局部极小的缺点,形成一种具有全局搜索能力的测试生成有效算法。该算法综合了随机性和确定性算法的优点,其性能优于一般的随机性算法。实验结果验证了该测试生成算法的有效性。  相似文献   

6.
有效的布尔可满足性算法必然包括有效的数据结构。本文深入地分析了用于回溯搜索SAT算法的数据结构,指出了它们各自所具有的优势和不足。并将SAT应用于组合电路的测试生成中。根据应用的特点和在分析的基础上,设计并实现了一个主要是针对组合测试生成的SAT算法,初步的实验结果证明了它在测试生成应用中的有效性。  相似文献   

7.
神经网络在组合电路故障模拟测试生成算法中的应用   总被引:9,自引:0,他引:9  
本文在基于故障模拟的测试生成算法基础上,提出了一种初始测试矢量的生成方法,即采用神经元网络模型来生成初始矢量,既避免了随机生成初始矢量的盲目性,又避免了确定性算法使用回溯所带来的大运算量。试验结果证明这种方法是有效的。  相似文献   

8.
本文研究将人工神经网络用于组合电路测试产生的一般模型,分析影响这一方法,效率的因素,提出了用于降低被测电路对应网络规模的故障压缩,电路分块,多级蕴涵等策略,采用改进的梯度算法加建了网络能量函数极小值的搜索。介绍了基于这些策略开发的一个测试生成系统的结构。实验结果说明了提出方法的有效性。  相似文献   

9.
4 SOCRATES算法 SOCRATES在FAN算法的基础上提出一种全局的蕴含策略,改进的唯一敏化策略及改进的多路回退策略。这些策略有助于降低回溯次数,尽早地发现信号冲突,识别冗余故障。  相似文献   

10.
集成电路技术的迅速发展,测试生成变得越来越困难。往往测试代价比电路设计,生产代价还要高。扫描设计技术将时序电路的测试生成转换成组合电路来处理。组合电路的测试码生成就显得很重要了。D-算法第一次引入了多路径敏化的思想,因而是第一个完全的算法。但由于D-算法对故障校验电路处理所存在的缺陷,使得D-算法在测试码搜索过程中所存在的盲目性逐步表现得非常突出。PO-DEM算法第一次将测试生成问题归结为一个多维空间解的搜索问题。该算法采用隐枚举的方法来处理测试生成,并第一次引入了回退技术,使得测试码生成效率大大提高。FAN算法对PODEM算法作较大的改进。该算法引入了唯一敏化,唯一蕴含及多路回退等技术将测试码生成效率大大提高。不同于PODEM算法的是,FAN算法搜索测试码的回溯不是针对原始输入,而是对头线和扇出。SOCR-ATES算法在FAN算法的基础上,引入了全局蕴含的思想及一系列改进的唯一敏化和改进的多路回退策略。SOCRATES进而将全局蕴含及唯一敏化扩展到动态的策略,进一步提高了测试码生成效率。EST算法第一次提出了测试码搜索状态的概念,并采用E-前沿来描述测试码搜索的不同状态。通过引入状态等价的概念,大大缩小了测试码搜索空间。DST算法将测试码搜索空间的状态等价概念扩展到状态控制的概念,在EST算法的基础上,进一步大大缩小了搜索空间。  相似文献   

11.
赵中煜彭宇  彭喜元 《电子学报》2006,34(B12):2384-2386
基于遗传算法生成的测试矢量集的故障覆盖率要低于确定性方法.本文分析指出造成这种现象的一个可能原因在于,组合电路测试生成过程中存在高阶、长距离模式,从而导致遗传算法容易陷人局部极值或早熟收敛.为此,本文首次提出使用分布估计算法生成测试矢量.该方法使用联合概率分布捕捉电路主输人之间的关联性。从而避免了高阶、长距离模式对算法的影响,缓解了算法早熟收敛问题.针对ISCAS-85国际标准组合电路集的实验结果表明,该方法能够获得较高的故障覆盖率.  相似文献   

12.
曾芷德  曹贺锋 《电子学报》2000,28(11):102-105
本文首先剖析了有限回溯测试模式产生(FBTPG)方法的实质,然后在深入分析三种ATPG系统的C-B曲线的实验数据的基础上,提出故障模拟对测试生成的综合调节效应,为FBTPG方法的有效性提供了理论依据.最后以ISCAS-85和ISCAS-89电路为基础,给出了FBTPG与随机测试生成、确定性测试生成和商用ATPG系统FlexTest的实验比较结果,从而论证了FBTPG方法处理超大规模时序电路的有效性.  相似文献   

13.
本文指出,VLSI的最大动态功耗测试,可以通过在特定输入序列作用下使电路中的不变门数为最小值为实现,本文提出的极性推导,赋值法可以快速生成相应的输入序列,该算法与电路的输入变量数无关。  相似文献   

14.
We show that the test generation problem for all single stuck-at faults in sequential circuits with internally balanced structures can be reduced into the test generation problem for single stuck-at faults in combinational circuits. In our previous work, we introduced internally balanced structures as a class of sequential circuits with the combinational test generation complexity. However, single stuck-at faults on some primary inputs, called separable primary inputs, corresponded to multiple stuck-at faults in a transformed combinational circuit. In this paper, we resolve this problem. We show how to generate a test sequence and identify undetectability for single stuck-at faults on separable primary inputs.  相似文献   

15.
This paper presents modeling tools based on Boolean satisfiability (SAT) to solve problems of test generation for combinational circuits. It exploits an added layer to maintain circuit-related information and value justification relations to a generic SAT algorithm. It dovetails binary decision graphs (BDD) and SAT techniques to improve the efficiency of automatic test pattern generation (ATPG). More specifically, it first exploits inexpensive reconvergent fanout analysis of circuit to gather information on the local signal correlation by using BDD learning, then uses the above learned information to restrict and focus the overall search space of SAT-based ATPG. Its learning technique is effective and lightweight. The experimental results demonstrate the effectiveness of the approach.  相似文献   

16.
王鑫  郭炜 《现代电子技术》2006,29(16):150-152
随着芯片的设计和验证越来越复杂,如何快速产生准确的功能测试向量就成为降低产品测试成本和缩短产品上市时间的关键因素。简要介绍了测试分类及测试向量,重点描述功能验证环境的建立,并提出一种新的功能验证环境,用于提高测试向量产生的效率和准确率。  相似文献   

17.
为解决同步时序电路的测试难题,提高时序电路测试生成效率,进行了时序电路测试生成算法的研究,将粒子群优化算法应用在时序电路的测试生成中。为验证PSO算法性能,首先将其用于函数优化,能获得较好的优化结果。之后建立自动测试生成离散粒子群速度—位置模型,针对国际标准时序电路的验证结果表明,与同类算法相比,该算法可以获得较高的故障覆盖率和较小的测试矢量集。  相似文献   

18.
测试数据的生成是一个复杂的问题且其技术和方法还不成熟.根据实现语句覆盖的测试目标,提出了最大稳定遗传算法(LSGA).该算法充分考虑了遗传算法的稳定性并在构造适应度函数和路径编号时提出了"邻近者优先"原则和"就近路径编号"原则.这个算法可以生成满足测试目标的最小用例集且其性能明显优于遗传算法.  相似文献   

19.
在本文中,我们给出了一种用线性码构造伪穷尽测试集的测试码生成方法。这种方法在减小测试时间方面较以往的方法又进了一步。实验结果表明这种方法是完全可取的。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号