首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 93 毫秒
1.
A series of TiSixNy superhard coatings with different Si contents were prepared on M42 steel substrates using two Ti and two Si targets by reactive magnetron sputtering at 500 °C. These samples were subsequently vacuum-annealed at 500, 600, 700, 800 and 900 °C, respectively. X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), microindenter, Rockwell hardness tester and scratch tester were applied to investigate the microstructure, phase configuration, hardness and adhesion properties of as-deposited and annealed samples. The results indicated that there were two bonds, TiN and Si3N4, in all presently deposited TiSixNy thin films, that structure was nanocomposite of nanocrystalline (nc-) TiN embedded into amorphous Si3N4 matrices. Annealing treatment below 900 °C played a little role in microstructure and hardness of the coatings although it greatly affected those of steel substrates. The film-substrate adhesion strength was slightly increased, followed by an abrupt decrease with increasing annealing temperature. Its value got to the maximum at 600 °C. Annealing had little effect on the friction coefficient with its value varying in the range of 0.39-0.40.  相似文献   

2.
Hafnium oxynitride (HfOxNy) gate dielectric has been deposited on Si (1 0 0) by means of radio frequency (rf) reactive sputtering using directly a HfO2 target in N2/Ar ambient. The thermal stability and microstructural characteristics for the HfOxNy films have been investigated. XPS results confirmed that nitrogen was successfully incorporated into the HfO2 films. XRD analyses showed that the HfOxNy films remain amorphous after 800 °C annealing in N2 ambient. Meanwhile the HfOxNy films can also effectively suppress oxygen diffusion during high temperature annealing and prevent interface layer from forming between HfOxNy films and Si substrates. AFM measurements demonstrated that surface roughness of the HfOxNy films increase slightly as compared to those pure HfO2 films after post deposition annealing. By virtue of building reasonable model structure, the optical properties of the HfOxNy films have been discussed in detail.  相似文献   

3.
Multilayered Ge nanocrystals embedded in SiOxGeNy films have been fabricated on Si substrate by a (Ge + SiO2)/SiOxGeNy superlattice approach, using a rf magnetron sputtering technique with a Ge + SiO2 composite target and subsequent thermal annealing in N2 ambient at 750 °C for 30 min. X-ray diffraction (XRD) measurement indicated the formation of Ge nanocrystals with an average size estimated to be 5.4 nm. Raman scattering spectra showed a peak of the Ge-Ge vibrational mode downward shifted to 299.4 cm−1, which was caused by quantum confinement of phonons in the Ge nanocrystals. Transmission electron microscopy (TEM) revealed that Ge nanocrystals were confined in (Ge + SiO2) layers. This superlattice approach significantly improved both the size uniformity of Ge nanocrystals and their uniformity of spacing on the ‘Z’ growth direction.  相似文献   

4.
Hf1−xSixOy is an attractive candidate material for high-k dielectrics. We report in this work the deposition of ultra-thin Hf1−xSixOy films (0.1 ≤ x ≥ 0.6) on silicon substrate at 450 °C by UV-photo-induced chemical vapour deposition (UV-CVD) using 222 nm excimer lamps. Silicon(IV) and hafnium(IV) organic compounds were used as the precursors. Films from around 5 to 40 nm in thickness with refractive indices from 1.782 to 1.870 were grown. The deposition rate was found to be of 6 nm/min at a temperature of 450 °C. The physical, interfacial and electrical properties of hafnium silicate (Hf1−xSixOy) thin films were investigated by using X-ray photoelectron spectroscopy, ellipsometry, FT-IR, C-V and I-V measurements. XRD showed that they were basically amorphous, while Fourier transform infrared spectroscopy (FT-IR), clearly revealed Hf-O-Si absorption in the photo-CVD deposited Hf1−xSixOy films. Surface and interfacial properties were analysed by TEM and XPS. It is found that carbon content in the films deposited by UV-CVD is very low and it also decreases with increasing Si/(Si + Hf) ratio, as low as about 1 at.% at the Si/(Si + Hf) ratio of 60 at.%.  相似文献   

5.
Amorphous silicon nitride (a-SiNx) films were deposited using plasma-enhanced chemical-vapor deposition (PECVD) and subsequently, thermal annealing processes were performed at 700-1000 °C in the ultra-high vacuum (UHV) condition. A strong photoluminescence (PL) peak induced by luminescent defect centers was observed at 710 nm for the as-deposited sample. When the sample was annealed at 700-1000 °C, the PL peak intensity became about 3-12 times stronger with no shift of the PL peak. To investigate the origin of the change in PL peak intensity after the thermal annealing, Si 2p and N 1s core-level spectra were systematically analyzed by high-resolution photoemission spectroscopy (HRPES) using synchrotron radiation. In particular, N 1s spectra were decomposed with three characteristic nitrogen-bonding states. It is revealed that the nitrogen bonding state with N-Si and NSi2 configurations (denoted as N3) contributes mainly to the change in PL peak intensity. We note that luminescent nitrogen related defect centers such as N4+ and N2° are localized in the state N3. Detailed analysis of the experimental results shows that the state N3 is located in the interface bounded by the region of the nano-sized stoichiometric silicon nitride Si3N4 (denoted as N1) and is considerably influenced by the thermal annealing, which is an appropriate process to cause strong photoluminescence of the related samples as mentioned above.  相似文献   

6.
Various metal-insulator-semiconductor (MIS) devices in the form of Au/SiOx(x<2)/Si, Au/AlOy(y<1.5)/Si, Au/SiOx/ZnO and Au/AlOy/ZnO have been fabricated. For each device, once a sufficiently high positive voltage is applied on the Au electrode, the same ultraviolet (UV) emission with a spectrum featuring several specific peaks is detected. Interestingly, such UV emissions related to the MIS devices originate from the external N2 microplasma. It is believed that at the high enough positive voltages the highly energetic electrons emitted out of the Au electrode activate the air to generate the N2 microplasma.  相似文献   

7.
High-k Ti1−xSixO2 gate dielectric layers were prepared at room temperature by RF magnetron sputtering using SiO2 and TiO2 targets to investigate their applicability to transparent thin-film transistors as well as metal-oxide-semiconductor field-effect transistors. Based on XRD and XPS analyses, it was found that, regardless of the deposition time, the Ti1−xSixO2 gate dielectric layers had more stable Si-based phases with stronger Si-O bonds with increasing SiO2 RF power. As SiO2 RF power increased, the capacitance of the dielectric layers decreased due to the higher fraction of the Si-based phases, and the leakage current decreased, dominantly because of the decrease in oxygen vacancies due to the formation of stoichiometric SiO2. The Ti1−xSixO2 gate dielectric layers exhibited high transparency above 80% and moderate bandgap of 4.1-4.2 eV, which can be applied to transparent thin-film transistors.  相似文献   

8.
Bright-field transmission electron microscopy (TEM) images, high-resolution TEM (HRTEM) images, and fast-Fourier transformed electron-diffraction patterns showed that n-butyl terminated Si nanoparticles were aggregated. The formation of Si1−xCx nanocomposites was mixed with Si nanoparticles and C atoms embedded in a SiO2 layer due to the diffusion of C atoms from n-butyl termination shells into aggregated Si nanoparticles. Atomic force microscopy (AFM) images showed that the Si1−xCx nanocomposites mixed with Si nanoparticles and C atoms existed in almost all regions of the SiO2 layer. The formation mechanism of Si nanoparticles and the transformation mechanism of n-butyl terminated Si nanoparticles embedded into Si1−xCx nanocomposites mixed with Si nanoparticles and C atoms are described on the basis of the TEM, HRTEM, and AFM results. These results can help to improve the understanding of the formation mechanism of Si nanoparticles.  相似文献   

9.
The remote plasma nitridation (RPN) of an HfO2 film using N2 and NH3 has been investigated comparatively. X-ray photoelectron spectroscopy and Auger electron spectroscopy analyses after post-deposition annealing (PDA) at 700 °C show that a large amount of nitrogen is present in the bulk film as well as in the interfacial layer for the HfO2 film nitrided with NH3-RPN. It is also shown that the interfacial layer formed during RPN and PDA is a nitrogen-rich Hf-silicate. The C-V characteristics of an HfOxNy gate dielectric nitrided with NH3-RPN have a smaller equivalent oxide thickness than that nitrided with N2-RPN in spite of its thicker interfacial layer.  相似文献   

10.
Wear-out of Al-Ta2O5/SiO2-Si stacked layers under dynamic current stresses was studied. It was found that a detrapping of negative charges occurs between the pulses, similarly to SiO2 and SiOxNy films. Additional consumption of the SiO2 interfacial layer results in a decrease of the gate voltage in some stages of the stress, depending upon the stress time and current density.  相似文献   

11.
The CrNxOyCz coatings were deposited by planar DC reactive magnetron sputtering onto AZ31 Mg alloy and high speed tool steel (HSTS) substrates at a substrate temperature of 200 °C. The effect of N2 content on composition and structure of the CrNxOyCz coatings was investigated. The structure of the CrNxOyCz coatings was analyzed by a glancing angle X-ray diffraction (GXRD). The cross-section morphology and thickness of the CrNxOyCz coatings were checked by a field emission scanning electron microscope (FESEM), and the composition profile and chemical state were carried out by an X-ray photoelectron spectroscopy (XPS). The experimental results showed that the structure and phase composition of the CrNxOyCz coatings depended on N2 content. The evolution of the structure of CrNxOyCz coatings was consistent with CrNx-based coatings, and the CrNxOyCz coatings contained Cr2O3, CrO2, CrO, Cr3C2, CrNx (Cr, CrN, Cr2N), as well as different chromium oxynitride. However, the carbide and oxynitride were oxidized after annealing.  相似文献   

12.
The crystalline structure and surface morphology of DyxOy dielectric films grown on Si substrates were studied by grazing incidence diffraction and absorption with use of synchrotron radiation and by atomic force microscopy. The crystalline structure and the roughness of DyxOy films were found to be strongly dependent on the deposition rate. The dielectric-silicon interface depends on the type of gas used in the annealing process. Moreover, results from the near edge X-ray absorption studies, have revealed that none of the examined films has a stoichiometry close to the Dy2O3. The level of stoichiometry is determined by the technological conditions. Nevertheless, MOS structures with DyxOy films (EOT ∼ 23 Å) have shown a rather good DyxOy-Si interface properties, which can be further improve by thermal annealing, and introducing of several additives, therefore DyxOy films can be considered as suitable candidates for gate dielectric in MOS devices.  相似文献   

13.
This paper presents the use of the simple annealing technique at 1000 °C to produce the helical nanostructures of SiOx. We have employed the Co-coated Si substrates, with Co layer and Si substrate utilized as catalyst and Si source, respectively. Beside the ordinary straight nanowires, the helical nanowires such as nanosprings and nanorings were observed. The product was an amorphous structure of SiOx. We have discussed the possible growth mechanism. Photoluminescence spectrum of the SiOx nanostructures showed a blue emission at 428 nm and a green emission at 534 nm, respectively.  相似文献   

14.
The polycrystalline Ti/TiNx multilayer films were deposited by magnetron sputtering, and the as-deposited multilayer coatings were annealed at 500-800 °C for 2-4 h in vacuum. We investigated the effects of annealing temperature and annealing time on the microstructural, interfacial, and mechanical properties of the polycrystalline Ti/TiNx multilayer films. It was found that the hardness increased with annealing temperature. This hardness enhancement was probably caused by the preferred crystalline orientation TiN(1 1 1). The X-ray reflectivity measurements showed that the layer structure of the coatings could be maintained after annealing at 500 °C and the addition of the Si3N4 interlayer to Ti/TiNx multilayer could improve the thermal stability to 800 °C.  相似文献   

15.
In this study, we demonstrated significant enhancement of the formation of low-resistivity NiSi nanocontacts with controlled size on (0 0 1)Si0.7Ge0.3 substrates by combining the nanosphere lithography with the use of a new Ni/a-Si bilayer nanodot structure. Low-resistivity NiSi with an average size of 78 nm was observed to be the only silicide phase formed in samples annealed at 350-800 °C. The presence of the interposing Si layer with appropriate thickness was found to effectively prevent Ge segregation and maintain the interface stability in forming NiSi nanocontacts on (0 0 1)Si0.7Ge0.3. As the annealing temperature was increased to 900 °C, amorphous SiOx nanowires were observed to grow from silicide nanocontact regions. The NSL technique in conjunction with a sacrificial Si interlayer process promises to be applicable in fabricating periodic arrays of other low-resistivity silicide nanocontacts on Si1−xGex substrates without complex lithography.  相似文献   

16.
Photoelectron spectroscopy and X-ray absorption spectroscopy (XAS) measurements have been performed on HfSixOy and HfSixOyNz dielectric layers, which are potential candidates as high-k transistor gate dielectrics. The hafnium silicate layers, 3-4 nm thick, were formed by codepositing HfO2 and SiO2 (50%:50%) by MOCVD at 485 °C on a silicon substrate following an IMEC clean. Annealing the HfSixOy layer in a nitrogen atmosphere at 1000 °C resulted in an increase in the Si4+ chemical shift from 3.5 to 3.9 eV with respect to the Si0 peak. Annealing the hafnium silicate layer in a NH3 atmosphere at 800 °C resulted in the incorporation of 10% nitrogen and the decrease in the chemical shift between the Si4+ and the Si0 to 3.3 eV. The results suggest that the inclusion of nitrogen in the silicate layer restricts the tendency of the HfO2 and the SiO2 to segregate into separate phases during the annealing step. Synchrotron radiation valence band photoemission studies determined that the valence band offsets were of the order of 3 eV. X-ray absorption measurements show that the band gap of these layers is 4.6 eV and that the magnitude of the conduction band offset is as little as 0.5 eV.  相似文献   

17.
We systematically investigated the role of the top interface for TaCx and HfCx/HfO2 gate stacks on the effective work function (Φm,eff) shift by inserting a SiN layer at the gate/HfO2 top interface or HfO2/SiO2 bottom interface. We found that Φm,eff of the TaN gate electrode on HfO2 was larger than that on SiO2 because of the HfO2/SiO2-bottom-interface dipole. On the other hand, we found that Φm,eff values of the TaCx and HfCx gate electrodes on HfO2 agree with Φm,eff on SiO2. This is because the potential offset of the opposite direction with respect to the bottom interface dipole appears at the metal carbide/HfO2 interface. It is thus concluded that the top interface in the metal carbide/HfO2 gate stacks causes the negative Φm,eff shift.  相似文献   

18.
Si quantum dots (QDs) embedded in SiO2 can be normally prepared by thermal annealing of SiOx (x < 2) thin film at 1100 °C in an inert gas atmosphere. In this work, the SiOx thin film was firstly subjected to a rapid irradiation of CO2 laser in a dot by dot scanning mode, a process termed as pre-annealing, and then thermally annealed at 1100 °C for 1 h as usual. The photoluminescence (PL) intensity of Si QD was found to be enhanced after such pre-annealing treatment. This PL enhancement is not due to the additional thermal budget offered by laser for phase separation, but attributed to the production of extra nucleation sites for Si dots within SiOx by laser irradiation, which facilitates the formation of extra Si QDs during the subsequent thermal annealing.  相似文献   

19.
We have investigated the phase separation and silicon nanocrystal (Si NC) formation in correlation with the optical properties of Si suboxide (SiOx, 0 < x < 2) films by thermal annealing in high vacuum. The SiOx films were deposited by plasma-enhanced chemical vapor deposition at different nitrous oxide/silane (N2O/SiH4) flow ratios. The as-deposited films show increased Si concentration with decreasing N2O/SiH4 flow ratio, while the deposition rate and surface roughness have strong correlations with the flow ratio in the N2O/SiH4 reaction. After thermal annealing at temperatures above 1000 °C, Fourier transform infrared spectroscopy, Raman spectroscopy, and transmission electron microscopy manifest the progressive phase separation and continuous growth of crystalline-Si (c-Si) NCs in the SiOx films with increasing annealing temperature. We observe a transition from multiple-peak to single peak of the strong red-range photoluminescence (PL) with increasing Si concentration and annealing temperature. The appearance of the single peak in the PL is closely related to the c-Si NC formation. The PL also redshifts from ∼1.9 to 1.4 eV with increasing Si concentration and annealing temperature (i.e., increasing NC size). The good agreements of the PL evolution with NC formation and the PL peak energy with NC size distribution support the quantum confinement model.  相似文献   

20.
Five-layered Si/SixGe1−x films on Si(1 0 0) substrate with single-layer thickness of 30 nm, 10 nm and 5 nm, respectively were prepared by RF helicon magnetron sputtering with dual targets of Si and Ge to investigate the feasibility of an industrial fabrication method on multi-stacked superlattice structure for thin-film thermoelectric applications. The fine periodic structure is confirmed in the samples except for the case of 5 nm in single-layer thickness. Fine crystalline SixGe1−x layer is obtained from 700 °C in substrate temperature, while higher than 700 °C is required for Si good layer. The composition ratio (x) in SixGe1−x is varied depending on the applied power to Si and Ge targets. Typical power ratio to obtain x = 0.83 was 7:3, Hall coefficient, p-type carrier concentration, sheet carrier concentration and mobility measured for the sample composed of five layers of Si (10 nm)/Si0.82Ge0.18 (10 nm) are 2.55 × 106 /°C, 2.56 × 1012 cm−3, 1.28 × 107 cm−2, and 15.8 cm−2/(V s), respectively.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号