首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 109 毫秒
1.
基于CPLD技术的看门狗电路的设计   总被引:6,自引:0,他引:6  
介绍了一种基于CPLD器件设计看门狗电路的方法。  相似文献   

2.
陈建  白永林  程光华  欧阳娴  刘百玉  田进寿  车嵘   《电子器件》2007,30(4):1249-1251
本文介绍了一种周期、脉宽可由上位机实时调节的嵌入式脉冲发生器,用来作为声光调制器驱动器的TTL信号输入.设计中利用了单片机AT89C52控制功能灵活,能与上位机进行简单的串行通信的特点,结合复杂可编程逻辑器件(CPLD)集成度高、可靠性好及工作速度快的优点,利用Altera公司强大的设计软件QUARTUSⅡ进行设计仿真并硬件实现.最终得到重复频率在800 Hz-25 MHz范围内可调,脉宽可以在一个脉冲周期范围内以20 ns步长任意调节的脉冲信号,完全满足声光调制器驱动器的工作要求,此设备已稳定工作半年.  相似文献   

3.
林文富 《现代电子技术》2007,30(13):58-59,62
利用复杂可编程逻辑器件(PLD)集成度高、可靠性强及工作速度快的优点,设计了一种纳秒脉冲信号发生器,该发生器可以控制脉冲的输出和实现多路脉冲宽度输出。详细叙述了该发生器的工作原理和具体硬件设计,利用ISE 6.0 MoldeSim 5.7SE软件进行波形仿真,制作硬件电路版和并通过验证。设计采用了100 MHz的时钟,得到各种不同宽度的脉冲输出,最小脉冲宽度为19.8 ns,上升沿为9.7 ns。  相似文献   

4.
单片机和CPLD构成的任意波形产生器   总被引:3,自引:0,他引:3  
吴秋兰 《电子工程师》2001,27(11):4-6,11
介绍了一种采用复杂可编程逻辑器件(CPLD)与单片机相结合的方法设计的新型任意波形发生器(AWG),其中波形合成采用了直接数字合成(DDS)技术。本系统能输出频率和幅度可调的任意波形。  相似文献   

5.
设计了以CPLD为存储控制单元的PIC32单片机大容量Flash存储系统.介绍了系统的组成方案、硬件接口电路以及CPLD控制单元模块化的设计思路,并在QuartusⅡ 9.0软件环境下对系统设计进行仿真验证.最后得到的时序仿真波形证明了系统设计方案的正确性与可行性.  相似文献   

6.
以CPLD实现的信号产生及频率测量系统   总被引:2,自引:0,他引:2  
CPLD在现代电子产品设计中发挥着重要的作用,介绍了一个在这种器件上设计和实现了的具有信号产生和频率测量功能的复杂电路,主要说明了电路的结构、原理和性能指标,用该电路能很方便地设计信号发生器和频率计。  相似文献   

7.
基于CPLD和单片机的激光测距时间间隔测量   总被引:2,自引:0,他引:2       下载免费PDF全文
陈佳夷  伊小素 《激光技术》2008,32(4):363-363
为了达到提高时间间隔测量精度的目的,采用复杂可编程逻辑器件和单片机实现脉冲激光测距时间间隔测量系统中的模拟内插法的方案,设计了测量系统与测试电路,并对该方案进行了验证,得到了200ps精度的时间间隔测量系统.结果表明,可编程逻辑器件的使用可大大简化电路结构,使得整个系统结构简单化.采用该设计方案的激光测距系统具有体积小、可靠性高的特点.  相似文献   

8.
基于MCU+CPLD的相位差和频率的测量方法研究及实现   总被引:2,自引:0,他引:2  
介绍了一种基于复杂可编程逻辑器件CPLD与单片机的相位和频率测量方法,其中单片机完成控制和数据处理.给出了硬件原理图和CPLD设计核心模块,可有效提高测量精度和抗干扰能力.  相似文献   

9.
脉冲信号源的CPLD实现方法   总被引:2,自引:0,他引:2  
为满足生产和科研的需要,研制了-种用Altera公司MAX7000系列CPLD芯片,实现的程控脉冲信号源.实践证明,应用此种方案设计的信号源,频率高、频率稳定、步进小(通过选用高速CPLD可提高频率及缩小步进)、精度高、参数调节方便,同时操作简单方便,功能更易扩展.文中给出了该信号源的详细系统设计方法及程宇源代码.  相似文献   

10.
陈静  付饶 《现代显示》2005,(4):47-49
本文介绍TC1602A液晶显示器的应用,以及单片机和CPLD综合应用的电路和软件设计,将CPLD应用到逻辑电路设计中,既简化了硬件电路,又节省了系统资源。  相似文献   

11.
采用ATMEL公司的AT91M40800微处理器作为温度控制系统的核心,结合CPLD技术.完成四路温度信号的处理.ARM微处理器处理两路控制信号,一路通过网络接口传送至网络;另一路经光电隔离和功率放大后控制加热片.实现加速度计温度控制系统的闭环控制.实验证明该设计达到预期目标,满足系统精度和高速实时性要求.  相似文献   

12.
采用ATMEL公司的AT91M40800微处理器作为温度控制系统的核心,结合CPLD技术,完成四路温度信号的处理。ARM微处理器处理两路控制信号,一路通过网络接口传送至网络;另一路经光电隔离和功率放大后控制加热片.实现加速度计温度控制系统的闭环控制。实验证明该设计达到预期目标,满足系统精度和高速实时性要求。  相似文献   

13.
基于CPLD的机载数字计算机地面检测装置的实现   总被引:1,自引:1,他引:0  
描述了某型飞机机载数字计算机地面检测装置基于CPLD的实现,并且讨论了系统在仿真和调试过程中出现的一些问题,和原设备相比较,本系统的可维护性和性价比得到了很大地提高。  相似文献   

14.
设计了一种以DSP+CPLD为控制核心的高性能金属磁记忆检测仪,用以快速检测铁磁材料的漏磁信号,判断材料应力集中区域。文中简述了磁记忆检测仪的主要电路及其工作原理,重点介绍了系统的硬件和软件设计。该检测仪利用DSP快速的运算处理能力,以及CPLD高效的逻辑控制和时序协调功能,保证了系统的快速信号采样、高速数据处理和实时信号显示。  相似文献   

15.
文中基于复杂可编程逻辑器件设计一款高分辨率的线阵CCD信号采集系统。利用Verilog硬件描述语言进行了CPLD控制模块以及逻辑单元的程序设计,由图像专用A/D芯片中的相关双采样等特殊功能,实现了对CCD输出信号的噪声处理和模数转换,通过USB2.0接口实现了计算机终端采集和控制指令的实时传输。采用CPLD的设计方法具有驱动时序精确、采样速率快、抗干扰性强和输出信号稳定等特点。仿真结果证明,系统总体性能较好,上位机能正确显示采集到的CCD数据,噪声在允许的范围内,在不同的工作环境下,系统性能稳定。  相似文献   

16.
介绍了直接数字频率合成器的工作原理,并利用Altera公司的FLEX10k复杂可编程逻辑器件(CPLD)给出了实现方法和仿真结果。  相似文献   

17.
郭焱 《电子质量》2013,(10):13-16,27
CCD驱动时序电路的设计实现是其应用的关键问题。该文在分析TCD1209D线阵CCD的工作原理和驱动时序等特性的基础上,提出了一种基于CPLD的线阵CCD驱动电路的设计方法,其中选用MAXII系列CPLD作为硬件设计平台,运用VHDL语言设计驱动时序电路。该设计使用ouartusII软件对所设计的驱动程序进行了仿真,仿真与实验结果表明该方案设计可行,电路结构简单,集成度较高,实用性强,并具有一定通用性。  相似文献   

18.
胡丽  宋文爱  杨录 《电子测试》2011,(10):51-54
以典型的线阵CCD图像传感器件TCDl32D为例,设计和开发了一种线阵CCD驱动电路。电路主要采用了复杂可编程逻辑器件(CPLD),充分发挥其"可编程"的技术特性,为用户提供了丰富的接口信号。介绍了该驱动电路的主要特性、工作原理和驱动时序的设计思想,阐述了逻辑设计原理,给出了CPLD实现电路和时序仿真图形.验证了CPL...  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号