首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
In this letter, the application of dry etching to thin films on glass is described. The utilized (ICP-RIE) of SF6 and 02 is discussed and a demonstrated. prepare Black Silicon nanostructures on crystalline silicon reactive ion etching with an inductively coupled plasma remarkable increase in light absorption of about 70% is demonstrated.  相似文献   

2.
SOI rib waveguides were fabricated with vertical side walls using inductively coupled plasma reactive ion etching. The root-mean-square (rms) roughness of the side-wall surface was directly measured by atomic force microscopy. The rms roughness of the side-wall surface obtained by three-mask lithography is 28.73 nm, much higher than that of the one-mask-lithography SOI rib waveguide. The scattering loss induced from side-wall roughness is evaluated using Tiens theory, and is proportional to the square of the side-wall rms roughness. To reduce the rms roughness, hydrogen annealing was used to smooth the side-wall surface obtained by three-mask lithography. After hydrogen annealing, there is a significant drop in the rms roughness of the side-wall surface. PACS 42.82.Et; 42.81.Dp; 52.80.Yr; 68.37.Ps; 81.65.Ps  相似文献   

3.
We report, to our knowledge, the first active channel waveguide in Ti:sapphire. We have created ∼1.4-μm high ribs in a ∼10-μm thick Ti:sapphire planar waveguide by reactive ion etching. Following excitation by an Ar-ion laser, the rib structure showed channel-waveguide fluorescence emission. The mode profiles and the beam-parameter values (M2) were measured. The coupling efficiency of fluorescence emission into a single-mode fiber was an order of magnitude higher than for fluorescence from unstructured planar regions of the waveguide. Such devices are of interest as low-threshold tunable lasers and as broadband light sources in low-coherence interferometry. Received: 22 December 2002 / Revised version: 30 March 2002 / Published online: 8 August 2002  相似文献   

4.
In the course of plasma etching we can observe a loading effect, i.e. the etch rate depends on the size of the etched surface exposed to the plasma. This phenomenon was explained according to Mogab by the plasma active etch species depletion via a rapid etch reaction. But there exist more coomplicated systems, for example SiO2-photoresist SCR17-CHF3, where the SiO2 surface can be etched and a polymer layer can grow on the photoresist surface. The etching of SiO2 is also influenced by different resists in the case of differences in their chemical structure. The degree of electrode coating with a resist influences both the etch rate of the masking layer. This may be used for the control of the etching selectivity in the SiO2-resist system independently of other process parameters.The author is grateful to Mr. Z. Pokorný for his help in preparing the SiO2 layers used in all experiments.  相似文献   

5.
采用光刻及离子束蚀刻技术制作面阵石英DNA芯片模版,利用扫描电子显微镜(SEM)和表面轮廓仪测试了所制石英DNA芯片模版的表面微结构形貌特征,分析了所制石英DNA芯片模版出现图形畸变的原因。所用工艺为在其它衬底材料表面制作更大规模及具有复杂结构的大面阵DNA芯片模版奠定了基础。  相似文献   

6.
 采用光刻及离子束蚀刻技术制作面阵石英DNA芯片模版,利用扫描电子显微镜(SEM)和表面轮廓仪测试了所制石英DNA芯片模版的表面微结构形貌特征,分析了所制石英DNA芯片模版出现图形畸变的原因。所用工艺为在其它衬底材料表面制作更大规模及具有复杂结构的大面阵DNA芯片模版奠定了基础。  相似文献   

7.
Reactive ion etching (RIE) and plasma etching (PE) of different materials (GaAs, Si3N4 SiO2 and photoresist Microposit 1350 H) in freon 116 are compared in the present article. The importance of ion bombardment for the etching rate is evident from the experimental results. GaAs is etched only by RIE due to ion milling, the etching rates of Si3N4 and SiO2 are 4 to 5 times higher by RIE than PE.  相似文献   

8.
龙浩  杨文  应磊莹  张保平 《中国物理 B》2017,26(5):54211-054211
Silica whispering gallery mode(WGM) microcavities were fabricated by the buffered oxide etcher and potassium hydroxide wet etching technique without any subsequent chemical or laser treatments. The silicon pedestal underneath was an octagonal pyramid, thus providing a pointed connection area with the top silica microdisk while weakly influencing the resonance modes. The sidewalls of our microdisks were wedge shaped, which was believed to be an advantage for the mode confinement. Efficient coupling from and to the 60 μm diameter microdisk structure was achieved using tapered optical fibres, exhibiting a quality factor of 1.5×10~4 near a wavelength of 1550 nm. Many resonance modes were observed, and double transverse electric modes were identified by theoretical calculations. The quality factor of the microdisks was also analysed to deduce the cavity roughness. The wet etching technique provides a more convenient avenue to fabricate WGM microdisks than conventional fabrication methods.  相似文献   

9.
Frequency-dependent conductance measurements were carried out to investigate the trap states induced by reactive ion etching in Al Ga N/Ga N high-electron-mobility transistors(HEMTs) quantitatively. For the non-recessed HEMT, the trap state density decreases from 2.48 × 1013cm-2·e V-1at an energy of 0.29 e V to 2.79 × 1012cm-2·e V-1at E T= 0.33 e V.In contrast, the trap state density of 2.38 × 1013–1.10 × 1014cm-2·e V-1is located at E T in a range of 0.30–0.33 e V for the recessed HEMT. Thus, lots of trap states with shallow energy levels are induced by the gate recess etching. The induced shallow trap states can be changed into deep trap states by 350?C annealing process. As a result, there are two different types of trap sates, fast and slow, in the annealed HEMT. The parameters of the annealed HEMT are E T= 0.29–0.31 e V and D T= 8.16 × 1012–5.58 × 1013cm-2·e V-1for the fast trap states, and E T= 0.37–0.45 e V and D T= 1.84 × 1013–8.50 × 1013cm-2·e V-1for the slow trap states. The gate leakage currents are changed by the etching and following annealing process, and this change can be explained by the analysis of the trap states.  相似文献   

10.
A method, combining micro-contact printing (μCP), wet chemical etching and reactive ion etching (RIE), is reported to fabricate microstructures on Si and SiOx. Positive and negative structures were generated based on different stamps used for μCP. The reproducibility of the obtained microstructures shows the methodology reported herein could be useful in Micro-Electro-Mechanical Systems (MEMS), optical and biological sensing applications.  相似文献   

11.
A fast reactive ion etching (RIE) treatment method is presented for dramatic enhancement of the field emission performances of nanocrystalline diamond (NCD) films. In this method a moment RIE treatment is able to modify the surface morphologies of NCD films and form a large area of nanoneedle-like arrays on the NCD films, in which the diamond nanoparticles were seeded on the film to serve as an etching mask. These elaborated diamond nanoneedle-like structures showed good uniformity and dense morphology with a controllable aspect ratio and distribution density and thereby significantly increased the electron field emission properties of the NCD films due to the formation of more emitting tips and enhanced field enhancement factor.  相似文献   

12.
13.
In this Letter, we propose a method of fabricating linear variable filters by ion beam etching with masking mechanisms. A triangle-shaped mask is designed and set between the ion source and sample. During the ion etching,the sample is moved back and forth repeatedly with a constant velocity for the purpose of obtaining the linearly varied thickness of the cavity. Combined with ion beam assistant thermal oxidative electron beam evaporation deposition technology, we finish the fabrication of linear variable filters, whose filtering range is from 500 to 580 nm. The measured results indicate that the transmittance and bandwidth at the peak wavelength are around 40% and 3 nm.  相似文献   

14.
We developed a micro-magnetometry with a 2.5 μm spatial resolution based on micro X-ray magnetic circular dichroism (XMCD) technique in order to study magnetic properties of dot arrays for bit-patterned media. This micro-magnetometer was applied to the magnetic characterization of Co–Pt dot arrays fabricated by ion beam etching. As the dot size became small, the intensity of XMCD drastically decreased for dots fabricated by Ga-focused ion beam. This suggested that the dot edges were damaged magnetically by implantation of Ga ions. The damaged width of the dot edge was estimated to be about 13 nm from the decrease in XMCD intensities. This damaged edge width agreed with the ion-implanted area estimated by Monte-Carlo simulation. The less-damaged effect of Ar ion etching was verified by the XMCD measurement of Co–Pt dots with diameter of 20 and 70 nm. It was concluded that ions with inertness, lower energy and smaller atomic number should be used to fabricate dot arrays with an areal density of 1 Tbit/in2.  相似文献   

15.
The evolution of sidewall roughness (SWR) during reactive ion etching (RIE) was simulated using a Monte Carlo method. It was discovered that the sidewall roughness established during an earlier etch period represents a historical archive of the moment during which the etch front passes by and is not affected by further etching. We also found that the behavior of SWR follows two distinct trends. At the initial stages, SWR increases with etch time, or its equivalent, the etch depth, but, beyond a certain etch depth, SWR of etched surfaces stabilizes and does not change with further etching. This is related to the change of the number of shadowing and reemitted particles as the etch depth increases. When the shadowing sticking coefficient decreases significantly, SWR increases beyond a certain critical depth due to reemission. Additionally, the noise also increases as the sticking coefficient decreases because of an increased number of reemitted particles. The simulated results support very well the assertions and mechanisms of the experimental findings and an etch model based on shadowing and first-order reemission effects at low RIE pressure. PACS 52.65.Pp; 52.77.Bn; 81.65.Cf  相似文献   

16.
We report here a top-down process for fabricating a freestanding circular GaN grating. The circular gratings are defined by electron-beam lithography and realized by fast-atom beam (FAB) etching. The silicon substrate below the GaN grating region is completely removed to make the circular grating suspended in space. The optical responses of the fabricated GaN gratings are characterized in reflectance measurements. The polarization-independent responses of circular gratings are experimentally demonstrated, corresponding well with the theoretical prediction. This work represents an important step in combining GaN-based material with freestanding nanostructures.  相似文献   

17.
In this paper we report a simple method to fabricate a novel subwavelength structure surface on fused silica substrate using one-step reactive ion etching with two-dimensional polystyrene colloidal crystals as masks. The etching process and the morphologies of the obtained structure are controlled. We show that the period of the obtained fused silica pillar-like arrays were determined by the initial polystyrene nanoparticle size. The height of pillar arrays can be adjusted by controlling the etching duration, which is proved to be of importance in tailoring the antireflection properties of subwavelength structures surface. The novel subwavelength structures surface exhibit excellent broadband antireflection properties, but the size of the pillar affects the antireflective properties in short wavelength region. We anticipate this method would offer a convenient and scalable way for inexpensive and high-efficiency high power laser field designs.  相似文献   

18.
Germanium-noble metal alloys are superconducting after quench-condensation. The same transition temperatures of about 3.5 K are obtained by implantation of Cu into Ge films. Irradiation experiments with Krypton show that lattice disorder is essential for the occurrence of superconductivity. The results harmonize with the concept of a homogeneous, liquid-like amorphous phase in which superconductivity is ascribed to metallic Ge.  相似文献   

19.
We fabricated sub-micrometer objects with feature sizes about one third of the exposure wavelength using two-photon photopolymerization in an epoxy-based photoresist SU-8 . Owing to the high mechanical strength of this photoresist, an aspect ratio as high as nine was achieved with a 200–300 nm lateral dimension. A simple equation was used to estimate the feature size from the laser parameters such as spot size, exposure time, pulse width, pulse repetition rate, and the material properties including the two-photon absorption coefficient and the exposure threshold dose. Patterns in SU-8 were transferred onto silicon using reactive ion etching, preserving both the feature size and aspect ratio. Vertical sidewalls of the transferred patterns were achieved using the black silicon method. PACS 42.82.Cr; 82.35.Ej; 85.40.Hp  相似文献   

20.
We fabricate silicon nanopillar arrays with pillar diameters smaller than 200 nm by using the conventional reactive ion etching (RIE) technique and nickel masks. We use the ratio between the lateral and vertical etching rates as an estimate of the etching anisotropy. The dependence of this ratio on the rf power, the chamber pressure, and the gas mixture is investigated systematically to achieve the largest etching anisotropy. Using the optimized etching parameters in the RIE process, we demonstrate silicon pillars with smooth surface, vertical sidewalls, and aspect ratios higher than 20. In addition, we employ dilute aqua regia to treat the pillars and shrink the diameters to 70 nm. The pillar height remains ∼2500 nm after the treatment. PACS 52.77.Bn; 81.65.Cf; 85.40.Hp  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号