首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 912 毫秒
1.
《Journal of Non》2006,352(9-20):976-979
Structural characteristics of polycrystalline silicon (poly-Si) made by Ni-mediated crystallization of amorphous silicon (a-Si) were investigated by cross-sectional transmission electron transmission (XTEM) according to various a-Si thickness. The Ni area density of ∼1014 cm−2 was deposited onto a-Si and it was annealed at 500 °C in the presence of an electric field of 10 V/cm. It is found that NiSi2 precipitates form at the top and bottom interfaces of a-Si during annealing. After reaching its critical size the crystallization proceeds from the top and bottom interfaces. The growth of needle-like Si crystallites has been seen, showing a migration of NiSi2 precipitates through the a-Si network. 1700 nm thick a-Si can be crystallized within 30 min which is longer than that (10 min) of 50 nm thick a-Si. However, the quality of 50 nm thick poly-Si is better than that of 300 nm or 1700 nm thick poly-Si.  相似文献   

2.
Amorphous silicon films are deposited by radio-frequency plasma-enhanced chemical-vapor deposition (RF-PECVD) with different n-doping rates. The amorphous films are subsequently crystallized using either solid phase crystallization (SPC) or rapid thermal annealing (RTA). We compare the effect of the n-doping rate on some properties of the microcrystalline silicon films obtained with both techniques. In the SPC process, the time required for the beginning of the crystallization decreases with increasing phosphorus doping. Moreover, doped films present slightly higher crystal size than intrinsic films but the doping rate does not significantly influence the grain size. For RTA, the doping rate decreases the crystallization temperature and increases significantly the crystal size. Whatever the doping rate, the average grain sizes obtained by RTA are larger than those obtained by SPC. The electrical resistance of the crystallized films also depends on the crystallization process: RTA films present a lower dark conductivity than SPC films. These results are discussed taking into account the different kinetics of both crystallization techniques and the role played by the silicon dangling bonds and their charge states on the crystal growth.  相似文献   

3.
Flash lamp annealing (FLA) can form polycrystalline silicon (poly-Si) films with various microstructures depending on the thickness of precursor amorphous Si (a-Si) films due to the variation of crystallization mechanisms. Intermittent explosive crystallization (EC) takes place in precursor a-Si films thicker than approximately 2 μm, and the periodicity of microstructure formed resulting from the intermittent EC is independent of the thickness of a-Si films if their thickness is 2 μm or greater. In addition to the intermittent EC, continuous EC and homogeneous solid-phase crystallization (SPC) also occur in thinner films. These crystallization mechanisms are governed by the ignition of EC at Si film edges and the homogeneous heating of interior a-Si. The results obtained in this study could be applied to control the microstructures of flash-lamp-crystallized poly-Si films.  相似文献   

4.
Field-enhanced metal-induced solid phase crystallization (FE-MISPC) at room temperature is employed to create microscopic crystalline regions at predefined positions in hydrogen-rich amorphous silicon (a-Si:H) films. Electric field is applied locally using a sharp conductive tip in atomic force microscope (AFM) and nickel electrode below the a-Si:H film. The process is driven by a constant current of ?50 pA to ?500 pA while controlling the amount of transferred energy (1–300 nJ) as a function of time. Passing current leads to a formation of nanoscale pits in the a-Si:H films. Depending on the energy amount and rate the pits exhibit lower or orders of magnitude higher conductivity as detected by current-sensing AFM. High conductivity is attributed to a local crystallization of the films. This is confirmed by micro-Raman spectroscopy.  相似文献   

5.
The rapid crystallization of amorphous silicon utilizing the radio-frequency (rf) inductive coupling thermal plasma torch of argon is demonstrated. Highly-crystallized Si films were fabricated on thermally grown (th-)SiO2 and textured a-Si:H:B/SnO2/glass by adjusting a distance between the tip of the silica tube and the substrate stage and the translational velocity of the substrate stage. The crystallization was promoted efficiently from the bottom to front surface during the solidification and crystallization of liquid Si.  相似文献   

6.
《Journal of Non》2006,352(9-20):998-1002
This paper deals with the structural characterization of amorphous silicon films deposited on glass in the amorphous state and then post-crystallized using a continuous wave argon laser. In opposite to the excimer laser crystallization method, the processing window is wider. Due to the low cooling rate induced by the continuous irradiation, very large grains are obtained. With an epitaxial growth induced by an adequate overlapping of the laser traces, grains as large as 100 μm can be reached. Electron back-scattered diffraction analysis highlights the single crystalline character of the large size grains crystallized with this kind of laser. The technique is able to produce large area single crystalline regions, suitable to fabricate high speed circuits.  相似文献   

7.
We investigated the electrical properties of polycrystalline silicon (poly-Si) thin film transistors (TFTs) employing field-enhanced solid phase crystallization (FESPC). An n+ amorphous silicon (n+ a-Si) layer was deposited to improve the contact resistance between the active Si and source/drain (S/D) metal instead of ion doping. By using CV measurement method, we could explain the diffused phosphorous ions (P+ ions) on the channel surface caused a negatively shifted threshold voltage (VTH) of ?7.81 V at a drain bias of 0.1 V, and stretched out a subthreshold swing (S) of 1.698 V/dec. This process was almost compatible with the widely used hydrogenated amorphous silicon (a-Si:H) TFT fabrication process and also offers a better uniformity when compared to the conventional laser-crystallized poly-Si TFT process because of non-laser crystallization.  相似文献   

8.
In this work we present a comparative study on the electrical characteristics of polymorphous silicon (pm-Si:H) and polymorphous germanium (pm-Ge:H) thin films deposited by low frequency plasma enhanced chemical vapor deposition (LF-PECVD), aiming to use them as thermo sensing elements in un-cooled microbolometers.We studied the effect of the deposition pressure on the film characteristics that are important for IR detection, as the activation energy (Ea), the thermal coefficient of resistance (TCR), the room temperature conductivity (σRT) and the film responsivity with IR radiation.Our results indicate that polymorphous films have advantages over boron doped a-Si:H, material which is currently employed as thermo-sensing element in commercial microbolometer arrays.  相似文献   

9.
Effusion measurements of hydrogen and of implanted helium are used to characterize the presence of voids in hydrogenated amorphous silicon (a-Si:H) materials as a function of substrate temperature, hydrogen content, etc. For undoped plasma-grown a-Si:H, interconnected voids are found to prevail at hydrogen concentrations exceeding 15–20 at.%, while isolated voids which act as helium traps appear at hydrogen concentrations  15 at.%. The concentration of such isolated voids is estimated to some 1018/cm3 for device-grade undoped a-Si:H deposited at a substrate temperature near 200 °C. Higher values are found for, e.g., doped material, hot wire grown a-Si:H and hydrogen-implanted crystalline Si. The results do not support recent suggestions of predominant incorporation of hydrogen in a-Si:H in (crystalline silicon type) divacancies, since such models predict a concentration of voids (which act as helium traps) in the range of 1021/cm3 and a correlation between void and hydrogen concentrations which is not observed.  相似文献   

10.
《Journal of Non》2006,352(9-20):989-992
The rapid recrystallization of amorphous silicon utilizing the very high frequency (VHF) plasma jet of argon at atmospheric pressure is presented. Highly crystallized polycrystalline Si film was synthesized by optimizing the translating velocity of the substrate stage and flow rate of argon. The temperature of the plasma exposure area reached at 1300 °C and the recrystallization of a-Si proceeded with a time constant of 10–50 ms. The effects of the translating velocity of the substrate stage and flow rate of argon on the rapid recrystallization of a-Si are demonstrated along with its mechanism.  相似文献   

11.
We develop a double-layer p-type hydrogenated nanocrystalline silicon (p-nc-Si:H) structure consisting of a low hydrogen diluted i/p buffer layer and a high hydrogen diluted p-layer to improve the hydrogenated amorphous silicon (a-Si:H) n-i-p solar cells. The electrical, optical and structural properties of p-nc-Si:H films with different hydrogen dilution ratio (RH) are investigated. High conductivity, low activation energy and wide band gap are achieved for the thin films. Raman spectroscopy and high-resolution transmission electron microscopy (HRTEM) analyses indicate that the thin films contain nanocrystallites with grain size around 3-5 nm embedded in the amorphous silicon matrix. By inserting a p-nc-Si:H buffer layer at the i/p interface, the overall performance of the solar cell is improved significantly compared to the bufferless cell. The improvement is correlated with the reduction of the density of defect states at the i/p interface.  相似文献   

12.
Insight into the oxidation mechanism of microcrystalline silicon thin films has been obtained by means of Fourier transform infrared spectroscopy. The films were deposited by using the expanding thermal plasma and their oxidation upon air exposure was followed in time. Transmission spectra were recorded directly after deposition and at regular intervals up to 8 months after deposition. The interpretation of the spectra is focused on the Si-Hx stretching (2000-2100 cm−1), Si-O-Si (1000-1200 cm−1), and OxSi-Hy modes (2130-2250 cm−1). A short time scale (< 3 months) oxidation of the crystalline grain boundaries is observed, while at longer time scales, the oxidation of the amorphous tissue and the formation of O-H groups on the grain boundary surfaces play a role. The implications of this study on the quality of microcrystalline silicon exhibiting no post-deposition oxidation are discussed: it is not sufficient to merely passivate the surface of the crystalline grains and fill the gap between the grains with amorphous silicon. Instead, the quality of the amorphous silicon tissue should also be taken into account, since this oxidation can affect the passivating properties of the amorphous tissue on the surface of the crystalline silicon grains.  相似文献   

13.
Hydrogenated amorphous silicon thin films doped with chalcogens (Se or S) were prepared by the decomposition of silane (SiH4) and H2Se/H2S gas mixtures in an RF plasma glow discharge on 7059 corning glass at a substrate temperature 230 °C. The illumination measurements were performed on these samples as a function of doping concentration, temperature and optical density. The activation energy varied with doping concentration and is higher in Se-doped than S-doped a-Si:H thin films due to a low defect density. From intensity versus photoconductivity data, it is observed that the addition of Se and S changes the recombination mechanism from monomolecular at low doping concentration films to bimolecular at higher doping levels. The photosensitivity (σph/σd) of a-Si, Se:H thin films decreases as the gas ratio H2Se/SiH4 increased from 10?4 to 10?1, while the photosensitivity of a-Si, S:H thin films increases as the gas ratio H2S/SiH4 increased from 6.8 × 10?7 to 1.0×10?4.  相似文献   

14.
A dislocation structure of Si layers crystallized from a floating grain on quartz glass and mullite ceramics substrates has been investigated by transmission electron microscopy (TEM) including the high-voltage one. The effect of the layer orientation on the crystallographic features of dislocation distribution and brittle fracture in Si-SiO2 system has been considered. The dislocation structure is proved to form mainly at temperatures lower than 0.8 of absolute melting temperature (Tm) of Si. Dislocation sources are located inside the crystallizable layer, and they are dislocations appearing from grain as well as the dislocation bundles near the interface. The cross slip of screws plays an essential role in dislocation multiplication. The difference of thermal expansion coefficients of the layer and substrate determines the finite dislocation density near the interface and in the bulk of the layer.  相似文献   

15.
《Journal of Non》2006,352(9-20):993-997
A simple and effective method for selective CW laser crystallization of a-Si (CLC) without pre-patterning of a-Si has been reported. By using a metallic shadow mask instead of a photolithographic process, we can reduce the process steps and time compared with a conventional CLC process. It shows very high performance – mobility of 173 cm2/s, Ioff of ∼10−13 A @ Vd = −5 V, Ion/Ioff of >108 – as a p-channel poly-Si TFT even without any pre-/post-treatment to improve TFT characteristics such as plasma hydrogenation.  相似文献   

16.
In this paper a new approach is presented for the simulation of spectroscopic ellipsometry (SE) data to estimate the level of nickel (Ni) contamination in silicon crystallized by metal induced crystallization (MIC). The method employs the addition of Ni as reference for a Bruggemann effective medium approximation (BEMA) to simulate the optical response of the crystallized silicon. This new approach is sensitive to changes in the initial average metal thickness used on the crystallization process to thickness values as low as 0.05 nm. This corresponds to a volume fraction of 0.24%, confirmed by Rutherford backscattering spectrometry (RBS) where it was observed that the Ni volume fraction detected by SE varies linearly with the metal amount inside the crystallized films determined by RBS.  相似文献   

17.
Boron (B) and phosphorus (P) cross-contamination for single-chamber deposited a-Si:H, μc-Si:H, and a-Si:H/μc-Si:H tandem solar cells has been investigated by studying their impact on the different layers of solar cells. To reduce the B and P cross-contamination into the i-layer and p-layer, respectively, to a tolerable level, for a-Si:H and μc-Si:H cells a 15' evacuation cycle prior to the i-layer deposition is applied. The effect of P cross-contamination into the i-layer is strongly reduced by the p-layer deposition and a 15’ evacuation cycle prior to the i-layer deposition. The p-layer is assumed to cover up or to fix (in form of P-B complexes) most of the P at the chamber walls. This leads to high quality μc-Si:H cells and a-Si:H cells with only slightly reduced performance. Here, a soft-start of the a-Si:H i-layer led to high quality cells, presumably due to reduced P recycling. Further, there is no need to clean the process chamber with, e.g. NF3, after each p-layer, as applied in many industrial processes. Instead, many cells are deposited without cleaning the process chamber. We established a single-chamber tandem cell process with 15' evacuation cycles prior to the μc-Si:H p-layer and to each i-layer with a cell efficiency of ~ 11.1%.  相似文献   

18.
We report a quasi-analytical calculation describing the heterojunction between hydrogenated amorphous silicon (a-Si:H) and crystalline silicon (c-Si) at equilibrium. It has been developed and used to determine the carrier sheet density in the strongly inverted layer at the a-Si:H/ c-Si interface. The model assumes an exponential band tail for the defect distribution in a-Si:H. The effects of the different parameters involved in the calculation are investigated in detail, such as the Fermi level position in a-Si:H, the density of states and the band offsets. The calculation was used to interpret temperature dependent planar conductance measurements carried out on (n) a-Si:H/ (p) c-Si and (p) a-Si:H/(n) c-Si structures, which allowed us to confirm a previous evaluation of the conduction band offset, ?EC = 0.18 ± 0.05 eV, and to evaluate the valence band offset: ?EV = 0.36 ± 0.05 eV at the a-Si:H/ c-Si heterojunction. The results are placed in the frame of recent publications.  相似文献   

19.
We report improvement in characteristics of hydrogenated amorphous silicon (a-Si:H ) p-i-n structured solar cells by high-pressure H2O vapor heat treatment. a-Si:H p-i-n solar cells were formed on glass substrates coated with textured SnO2 layer. P-, i-, and n-type a-Si:H layers were subsequently formed by plasma enhanced chemical vapor deposition. Finally an indium-tin-oxide layer was coated on the n-type a-Si:H surface. Heat treatment at 210 °C with 2 × 105 Pa H2O vapor for 1 h was applied to the a-Si:H p-i-n solar cells. Electrical characteristics were measured when samples were kept in dark and illuminated with light of AM 1.5 at 100 mW/cm2. The heat treatment with H2O vapor increased fill factor (FF) and the conversion efficiency from 0.54 and 7.7% (initial) to 0.57 and 8.4%, respectively. Marked improvement in solar cell characteristics was also observed in the case of a poor a-Si:H p-i-n solar cell. FF and the conversion efficiency were increased from 0.29 and 3.2% (initial) to 0.56 and 7.7%, respectively.  相似文献   

20.
Crystallization of multi-component on amorphous Zr-based alloy (Zr41Ti14Cu12.5Ni9Be22.5C1) is investigated at different pressures and temperatures. We have previously found that the primary crystallization temperature decreases with increasing pressure below 6 GPa, and the crystallization follows a different process under high pressure when compared to that at ambient pressure. In this work, pressure-induced crystallization is observed by in situ X-ray diffraction (XRD) using synchrotron radiation in a diamond anvil cell at ∼25 GPa and room temperature. This phase transition between amorphous and crystalline is reversible and the crystallized sample returns to the amorphous state during decompression. The mechanism for pressure-induced crystallization is discussed. We suggest that the crystallized phases under high pressure are interstitial solid solution phases formed from the amorphous matrix without long-range atomic rearrangements.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号