首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
Hydrogenated amorphous silicon thin films doped with chalcogens (Se or S) were prepared by the decomposition of silane (SiH4) and H2Se/H2S gas mixtures in an RF plasma glow discharge on 7059 corning glass at a substrate temperature 230 °C. The illumination measurements were performed on these samples as a function of doping concentration, temperature and optical density. The activation energy varied with doping concentration and is higher in Se-doped than S-doped a-Si:H thin films due to a low defect density. From intensity versus photoconductivity data, it is observed that the addition of Se and S changes the recombination mechanism from monomolecular at low doping concentration films to bimolecular at higher doping levels. The photosensitivity (σph/σd) of a-Si, Se:H thin films decreases as the gas ratio H2Se/SiH4 increased from 10?4 to 10?1, while the photosensitivity of a-Si, S:H thin films increases as the gas ratio H2S/SiH4 increased from 6.8 × 10?7 to 1.0×10?4.  相似文献   

2.
We have investigated the effect of Ar dilution on the deposition process of intrinsic nc-Si:H (hydrogenated nanocrystalline silicon) thin films used as active layers of top-gate TFTs, in order to improve the TFTs performances. The nc-Si:H films were deposited by plasma enhanced chemical vapor deposition (PECVD) at low temperature (165 °C) and the related TFTs were fabricated with a maximum process temperature of 200 °C. During the nc-Si:H films deposition, the SiH4 fraction and the total flow of the diluting gases Ar + H2 mixture was kept constant, H2 being substituted by Ar. We have pointed out the active role played by the metastable states of excited Ar atoms in both the dissociation of SiH4 and H2 by quenching reactions in the plasma. The role of the atomic hydrogen during the film deposition seems to be promoted by the addition of argon into the discharge, leading to an increase of the deposition rate by a factor of about three and an enhancement of the crystalline quality of the nc-Si:H films. This effect is maximized when the Ar fraction in the Ar + H2 gases mixture reaches 50%. The evolution with Ar addition of the carriers mobility of the related TFTs is closely connected to the evolution of the crystalline fraction of the intrinsic nc-Si:H film. Mobilities values as high as 8 cm2 V?1 s?1 are obtained at the Ar fraction of 50%. For higher Ar fractions, the fall of the mobility comes with a degradation of the IDVG transfer characteristics of the processed TFTs due to a degradation of the nc-Si:H films quality. OES measurements show that the evolution of the Hα intensity is closely connected to the evolution of the deposition rate, intrinsic films crystalline fraction and TFTs mobility, providing an interesting tool to monitor the TFTs performances.  相似文献   

3.
The influence of oxygen and nitrogen impurities on the material properties of a-Si:H and μc-Si:H films and on the corresponding solar cell performances was studied. For intentional contamination of the i-layer the impurities were inserted by two contamination sources: (i) directly into the plasma through a leak at the chamber wall or (ii) into the gas supply line. The critical oxygen and nitrogen concentrations for silicon solar cells were determined as the lowest concentration of these impurities in the i-layer causing a deterioration of the cell performance. Similar critical concentrations for a-Si:H and μc-Si:H cells in the range of 4–6 × 1018 cm? 3 for nitrogen and 1–5 × 1019 cm? 3 for oxygen by applying a chamber leak are observed. Similar increase of conductivity with increasing impurity concentration in the a-Si:H and μc-Si:H films is found. A more detailed study shows that the critical oxygen concentration depends on the contamination source and the deposition parameters. For a-Si:H cells, the application of the gas pipe leak leads to an increased critical oxygen concentration to 2 × 1020 cm? 3. Such an effect was not observed for nitrogen. For μc-Si:H, a new deposition regime with reduced discharge power was found where the application of the gas pipe leak can also result in an increase of the oxygen concentration to 1 × 1020 cm? 3.  相似文献   

4.
A roll-to-roll PECVD system for thin film silicon solar cells on steel foil has been developed by ECN in collaboration with Roth and Rau AG. It combines MW–PECVD for fast deposition of intrinsic Si and novel linear RF sources, which apply very mild deposition conditions, for the growth of doped Si layers. The RF and MW sources can be easily scaled up to deposition widths of up to 150 cm. Here, we report on n-type doping, achieved by RF–PECVD from a H2/SiH4/PH3 mixture in the reaction chamber. The best n-type a-Si:H layers showed Eact = 0.27 eV and σd = 2.7 × 10?3 S/cm. Also thin layers down to 20 nm were of device quality and were deposited at a rate of 0.4 Å/s. Furthermore, n-type μc-Si:H layers with thicknesses of 150 nm, with Eact = 0.034 eV and σd = 2 S/cm were grown. Good quality n-type μc-Si:H layers can be made for layer thicknesses down to 50 nm at a rate of 0.15 Å/s. To conclude, the novel RF source is well-suited for the growth of n-doped a-Si:H and μc-Si:H layers for roll-to-roll solar cell production.  相似文献   

5.
《Journal of Non》2006,352(9-20):964-967
We have studied structural and electronic properties of μc-Si:H films deposited from SiH4 + H2 and SiH4 + H2 + Ar gas mixtures. The use of Ar containing gas mixtures for depositions allows us to increase deposition rate by a factor of two and to obtain films with an important fraction of large grains in comparison with SiH4 + H2 gas mixtures. Electronic properties of fully crystallized films become more intrinsic with the increase of large grain fraction. Deposition of highly p- and n-doped μc-Si:H layers from the dopant/SiH4 + H2 gas mixture at a temperature of 175 °C is possible without any remarkable changes in crystallinity in comparison with undoped films deposited with the same discharge conditions.  相似文献   

6.
L. Korte  M. Schmidt 《Journal of Non》2008,354(19-25):2138-2143
A variant of photoelectron spectroscopy with near-UV light excitation was established and applied to an n-type doping series of ultra-thin a-Si:H layers (layer thickness ~10 nm). Using this technique, the position of the surface Fermi level EFs is obtained and the density of recombination active defect states in the a-Si:H band gap down to ~1015 states/cm3 can be detected. Defect densities are generally about one order of magnitude higher than in the bulk of thicker (several 100 nm) layers, and the minimum achievable distance of EFs from the conduction band is ~360 mV for doping with 104 ppm PH3. The optimum doping for the fabrication of solar cells is almost one order of magnitude lower. This discrepancy may be explained by enhanced recombination at the a-Si:H/c-Si interface at high doping levels, and in addition by an efficient recombination pathway where charge carriers tunnel from c-Si via a-Si:H band tail states into the a-Si:H and subsequently recombine at dangling bond states.  相似文献   

7.
The electronic properties of a-Si:H vary with hydrogen passivation of dangling bond defects. It appears this effect is also operative in semiconducting amorphous hydrogenated boron carbide (a-B5C:H). Therefore, the ability to quantify the amount of hydrogen will be key to development of the materials science of a-B5C:H. The results of an initial investigation probing the ability to quickly correlate hydrogen concentration in a-B5C:H films with infrared spectroscopy are reported. a-B5C:H thin films were growth on Si (1 1 1) substrates by plasma-enhanced chemical vapor deposition (PECVD) using sublimed orthocarborane and argon as the precursor gas. Nuclear reaction analysis (NRA) was performed to quantify the atomic concentration of H in the a-B5C:H films. While the observed vibronic structure does not show stretches due to terminal C–H or bridging B–H–B, analysis of the terminal B–H stretch at ~2570 cm?1 gives a proportionality constant of A = 2 × 1022 cm?2. We conclude that the methods previously developed for correlating H concentration to infrared data in a-Si:H are similarly viable for a-B5C:H films.  相似文献   

8.
In this work is presented the fabrication of a thin film membrane as a bio-transducer for aural assistance detection, therefore it will operate at low pressure. The resonant membrane was deposited by PECVD technique at low temperature of deposition T = 270 °C, using SiH4, GeH4, and Boron gases. The membrane was suspended on a micromachined crystalline silicon frame obtained by wet chemical etching. The a-SiGe:B film presented a resistivity of 2.46 × 103 (Ω-cm), resistance of 20.8 kΩ. Using these experimental data we succeeded in designing a simple structure for sensing low pressure variations. The output voltage of the sensor was measured for a range of pressure from 0 to 3000 Pa and at bias voltage of 10 V.  相似文献   

9.
We report improvement in characteristics of hydrogenated amorphous silicon (a-Si:H ) p-i-n structured solar cells by high-pressure H2O vapor heat treatment. a-Si:H p-i-n solar cells were formed on glass substrates coated with textured SnO2 layer. P-, i-, and n-type a-Si:H layers were subsequently formed by plasma enhanced chemical vapor deposition. Finally an indium-tin-oxide layer was coated on the n-type a-Si:H surface. Heat treatment at 210 °C with 2 × 105 Pa H2O vapor for 1 h was applied to the a-Si:H p-i-n solar cells. Electrical characteristics were measured when samples were kept in dark and illuminated with light of AM 1.5 at 100 mW/cm2. The heat treatment with H2O vapor increased fill factor (FF) and the conversion efficiency from 0.54 and 7.7% (initial) to 0.57 and 8.4%, respectively. Marked improvement in solar cell characteristics was also observed in the case of a poor a-Si:H p-i-n solar cell. FF and the conversion efficiency were increased from 0.29 and 3.2% (initial) to 0.56 and 7.7%, respectively.  相似文献   

10.
A glass of composition (20 ? x)Li2O–xLiCl–65B2O3–10SiO2–5Al2O3 where 0 ? x ? 12.5 wt% is prepared using the normal melt-quenching technique. The optical constants and electrical conductivity and their correlation are investigated, furnished and discussed with the substitution of Li2O for LiCl. The mechanism of the optical absorption and the calculated Urbach energy follow the rule of phonon-assisted transitions. The ionic conduction mechanism is determined by activation energy process. Substitution up to 10 wt% LiCl provides high ionic conductivity (1.9 × 10?2 Ω?1 m?1) due to the high average electronegativity of LiCl which increases the polarizability of lithium ions. The small cation–anion distance approach confirmed the enhancement in ionic conductivity of LiCl containing glass compared to that of Li2O. Due to the large size of Cl? ions, there is an expansion of the lattice which in turn broadens the available path windows. For 12.5 wt% LiCl, anomalous density behavior is observed and a reduction in conductivity is occurred, σ = 5.4 × 10?3 Ω?1 m?1. Owing to the model of bond fluctuation, the reduction is attributed to the increase in the alkali halide concentration which creates bottlenecks that hinder the motion of Li+ ions. The ionic conductivity character is strongly supported by the behavior of the glass ionicity factor, density, molar volume, refractive index, average boron–boron separation, molar refraction, metallization criterion and non-bridging oxygen concentration of the studied glass.  相似文献   

11.
Sun Huajun  Hou Lisong  Wu Yiqun  Wei Jingsong 《Journal of Non》2008,354(52-54):5563-5566
Sheet resistance of laser-irradiated Ge2Sb2Te5 thin films prepared by magnetron sputtering was measured by the four-point probe method. With increasing laser power the sheet resistance undergoes an abrupt drop from 107 to 103 Ω/□ at about 580 mW. The abrupt drop in resistance is due to the structural change from amorphous to crystalline state as revealed by X-ray diffraction (XRD) study of the samples around the abrupt change point. Crystallized dots were also formed in the amorphous Ge2Sb2Te5 films by focused short pulse laser-irradiated, the resistivities at the crystallized dots and the non-crystallized area are 3.375 × 10?3 and 2.725 Ω m, sheet resistance is 3.37 × 104 and 2.725 × 107 Ω/□ respectively, deduced from the IV curves that is obtained by conductive atomic force microscope (C-AFM).  相似文献   

12.
The grain boundary groove shapes for equilibrated solid neopentylglycol (NPG) solution (NPG–3 mol% D-camphor) in equilibrium with the NPG–DC eutectic liquid (NPG–36.1 mol% D-camphor) have been directly observed using a horizontal linear temperature gradient apparatus. From the observed grain boundary groove shapes, the Gibbs–Thomson coefficient (Г), solid–liquid interfacial energy (σSL) of NPG solid solution have been determined to be (7.5±0.7)×10?8 K m and (8.1±1.2)×10?3 J m?2, respectively. The Gibbs–Thomson coefficient versus TmΩ1/3, where Ω is the volume per atom was also plotted by linear regression for some organic transparent materials and the average value of coefficient (τ) for nonmetallic materials was obtained to be 0.32 from graph of the Gibbs–Thomson coefficient versus TmΩ1/3. The grain boundary energy of solid NPG solution phase has been determined to be (14.6±2.3)×10?3 J m?2 from the observed grain boundary groove shapes. The ratio of thermal conductivity of equilibrated eutectic liquid to thermal conductivity of solid NPG solution was also measured to be 0.80.  相似文献   

13.
Effusion measurements of hydrogen and of implanted helium are used to characterize the presence of voids in hydrogenated amorphous silicon (a-Si:H) materials as a function of substrate temperature, hydrogen content, etc. For undoped plasma-grown a-Si:H, interconnected voids are found to prevail at hydrogen concentrations exceeding 15–20 at.%, while isolated voids which act as helium traps appear at hydrogen concentrations  15 at.%. The concentration of such isolated voids is estimated to some 1018/cm3 for device-grade undoped a-Si:H deposited at a substrate temperature near 200 °C. Higher values are found for, e.g., doped material, hot wire grown a-Si:H and hydrogen-implanted crystalline Si. The results do not support recent suggestions of predominant incorporation of hydrogen in a-Si:H in (crystalline silicon type) divacancies, since such models predict a concentration of voids (which act as helium traps) in the range of 1021/cm3 and a correlation between void and hydrogen concentrations which is not observed.  相似文献   

14.
《Journal of Non》1998,226(3):217-224
In this paper we measure microstructure and optical absorption edge of a-Si:H and silicon-rich a-SiNr:H films prepared at deposition rates ∼0.8 nm/s by radio frequency plasma enhanced chemical vapor deposition method from hydrogen diluted SiH4 and SiH4 + NH3 mixtures, respectively. Microstructure of films was studied by atomic force microscopy and infrared spectroscopy. Both a-Si:H and a-SiNr:H films are inhomogeneous on a scale of ∼50 nm and contain Si-rich islands with hydrogen (in a-Si:H) or hydrogen and nitrogen (in a-SiNr:H) collected at their boundaries. It was found that different atomic configurations of N and H determined from IR data should be attributed to such islands and their boundaries. It was established that the optical gap is determined by the concentration of hydrogen (in a-Si:H) or nitrogen (in a-SiNr:H) in the islands while it is insensitive to variations of content of these alloy atoms at island boundaries. These results are interpreted in terms of a quantum well model modified to take into account structure of alloy atoms.  相似文献   

15.
《Journal of Non》2006,352(9-20):976-979
Structural characteristics of polycrystalline silicon (poly-Si) made by Ni-mediated crystallization of amorphous silicon (a-Si) were investigated by cross-sectional transmission electron transmission (XTEM) according to various a-Si thickness. The Ni area density of ∼1014 cm−2 was deposited onto a-Si and it was annealed at 500 °C in the presence of an electric field of 10 V/cm. It is found that NiSi2 precipitates form at the top and bottom interfaces of a-Si during annealing. After reaching its critical size the crystallization proceeds from the top and bottom interfaces. The growth of needle-like Si crystallites has been seen, showing a migration of NiSi2 precipitates through the a-Si network. 1700 nm thick a-Si can be crystallized within 30 min which is longer than that (10 min) of 50 nm thick a-Si. However, the quality of 50 nm thick poly-Si is better than that of 300 nm or 1700 nm thick poly-Si.  相似文献   

16.
《Journal of Non》2006,352(9-20):1250-1254
Very good electronic properties of hot-wire CVD a-Si,Ge:H alloys have been established by junction capacitance methods. The samples were deposited using a tantalum filament maintained at about 1800 °C instead of the usual 2000 °C tungsten filament process. Urbach energies below 45 meV were found, as well as annealed defect densities below 1016 cm−3, for Ge fractions up to 30 at.%. However, samples with 1019 cm−3 levels of oxygen exhibited much broader Urbach energies and higher defect densities. Light induced degradation was examined in detail for one a-Si,Ge:H alloy sample and compared to the behavior of PECVD grown a-Si:H alloys of similar optical gap.  相似文献   

17.
The amorphous hydrogenated carbon films (a-C:H) were obtained on Si (1 1 1) wafers by plasma jet chemical vapor deposition (PJCVD). a-C:H coatings have been prepared at 1000 Pa in argon/acetylene mixture. The Ar/C2H2 gas volume ratio varied from 1:1 to 8:1. It was demonstrated that by varying the Ar/C2H2 ratio the composition, growth rate of the coatings, and consequently the structure of the film, can be controlled. The growth rate and surface porosity of coatings deposited at Ar/C2H2 = 8:1 ratio decrease slightly with an increase in the distance between the plasma torch nozzle and substrate from 0.04 to 0.095 m. The transmittance of the coatings in the IR region of 2.5–25 μm slightly increases, while the absorption peaks at 2850–2960 cm?1 related with sp3 CH2–3 modes remain unchanged with an increase in the distance. The Raman spectroscopy indicated that the a-C:H coating formed at the Ar/C2H2 = 8:1 and 0.06 m has the highest sp3 C–C fraction. The proposed PJCVD technique allows to achieve the growth rates up to 300 nm/s.  相似文献   

18.
Amorphous tungsten-doped In2O3 (IWO) films were deposited from a metallic target by dc magnetron sputtering at room temperature. Both oxygen partial pressure and sputtering power have significant effects on the electrical and optical properties of the films. The as-deposited IWO films with the optimum resistivity of 5.8 × 10?4 Ω·cm and the average optical transmittance of 92.3% from 400 to 700 nm were obtained at a W content of 1 wt%. The average transmittance in the near infrared region (700–2500 nm) is 84.6–92.8% for amorphous IWO prepared under varied oxygen partial pressure. The mobility of the IWO films reaches its highest value of 30.3 cm2 V?1 s?1 with the carrier concentration of 1.6 × 1020 cm?3, confirming their potential application as transparent conductive oxide films in various flexible devices.  相似文献   

19.
Amorphous silicon quantum dots (Si-QDs) self-aggregated in silicon-rich silicon carbide are synthesized by growing with plasma-enhanced chemical vapor deposition on (100)-oriented Si substrate. Under the environment of Argon (Ar)-diluted Silane (SiH4) and pure methane (CH4), the substrate temperature and RF power are set as 350 °C and 120 W, respectively, to provide the Si-rich SiC with changing fluence ratio (R = [CH4 ]/[SiH4] + [CH4]). By tuning the fluence ratio from 50% to 70%, the composition ratio x of Si-rich Si1 ? xCx film is varied from 0.27 to 0.34 as characterized by X-ray photoelectron spectroscopy (XPS), which reveals the component of Si2p decreasing from 66.3 to 59.5%, and the component of C1s increasing from 23.9% to 31% to confirm the formation of Si-rich SiC matrix. Annealing of the SiC sample from 650 °C to 1050 °C at 200 °C increment for 30 min induces the very tiny shift on the wavenumber of the crystalline Si (c-Si) related peak due to the precipitation of Si-QDs within the SiC matrix, and the Raman scattering spectra indicate a broadened Raman peak ranging from 410 to 520 cm? 1 related to the amorphous Si accompanied with the significant enhancement for SiC bond related peak at 980 cm? 1. From the high resolution transmission electron microscopy images, the critical temperature for Si-QD precipitation is found to be 850 °C. The self-assembly of the crystallized Si-QDs with the size of 3 ± 0.5 nm and the volume density of (3 ± 1) × 1018 (#/cm3) in Si-rich SiC film with R = 70% are observed after annealing at higher temperature.  相似文献   

20.
We present a novel method to achieve light trapping in thin film silicon solar cells. Unlike the commonly used surface textures, such as Asahi U-type TCO, that rely on light scattering phenomena, we employ embossed periodically arranged micro-pyramidal structures with feature sizes much larger than the wavelength of visible light. Angular resolved transmission of light through these substrates indeed showed diffraction patterns, unlike in the case of Asahi U-type substrates, which show angular resolved scattering. Single junction amorphous silicon (a-Si) solar cells made at 125 °C on the embossed structured polycarbonate (PC) substrates showed an increase in current density by 24% compared to a similar solar cell on a flat substrate. The band gap and thickness of the i-layer made by VHF PECVD are 1.9 eV and 270 nm respectively. A double p-layer (nc-Si:H/a-Si:H) was used to make proper contact with ZnO:Al TCO.Numerical modeling, called DokterDEP was performed to fit the dark and light current–voltage parameters and understand the characteristics of the cell. The output parameters from the modeling suggest that the cells have excellent built-in potential (Vbi). However, a rather high recombination voltage, Vμ, affects the FF and short circuit current density (Jsc) for the cells on Asahi as well as for the cells on PC. A rather high parallel resistance ? 1  cm2 (obtained from the modeling) infers that there is no significant shunt leakage, which is often observed for solar cells made at low temperatures on rough substrates. An efficiency of more than 6% for a cell on PC shows enormous potential of this type of light trapping structures.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号