首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Melting and crystallization of silicon layers in a SOI structure (Si SiO2 Si) at millisecond lamp heating have been studied by model calculations using the solution of conduction equation. Pulse heating conditions that do not lead to silicon substrate melting under SiO2 have been determined. For pulses of 1 and 4.4 ms duration the silicon melt lifetime on the SiO2 surface has been estimated. The lengths of the crystal oriented growth from windows in the SiO2 layer that open the single-crystalline silicon substrate have been measured (25 and 64 μm).  相似文献   

2.
《Journal of Crystal Growth》2006,286(2):376-383
Bismuth silicate and bismuth titanate thin films were deposited by atomic layer deposition (ALD). A novel approach with pulsing of two Bi-precursors was studied to control the Si/Bi atomic ratio in bismuth silicate thin films. The crystallization of compounds formed in the Bi2O3–SiO2 and Bi2O3–TiO2 systems was investigated. Control of the stoichiometry of Bi–Si–O thin films was studied when deposited on Si(1 0 0) and crystallization was studied for films on sapphire and MgO-, ZrO2- and YSZ-buffered Si(1 0 0). The Bi–Ti–O thin films were deposited on Si(1 0 0) substrate. Both Bi–Si–O and Bi–Ti–O thin films were amorphous after deposition. Highly a-axis oriented Bi2SiO5 thin films were obtained when the Bi–Si–O thin films deposited on MgO-buffered Si(1 0 0) were annealed at 800 °C in nitrogen. The full-width half-maximum values for 200 peak were also studied. An excess of bismuth was found to improve the crystallization of Bi–Ti–O thin films and the best crystallinity was observed with Ti/Bi atomic ratio of 0.28 for films annealed at nitrogen at 1000 °C. Roughness of the thin films as well as the concentration depth distribution were also examined.  相似文献   

3.
Solution‐based nickel induced crystallization of amorphous silicon (a‐Si) films was performed. The nickel solution was prepared by dissolving (CH3CO2)2Ni in deionized water and applied uniformly on a‐Si films by low‐cost ultrasonic spray pyrolysis method. Crystallization could be realized for a‐Si films coated with a 0.2 M nickel solution and annealed at 500 °C. The effect of substrate temperature during nickel solution deposition was analyzed. Micro‐Raman and x‐ray diffraction measurement show that a‐Si is fully crystallized at 550 °C for 7 h with a nickel concentration of 0.8 M. (© 2011 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

4.
The vertical growth of Si nanowires on non‐monocrystalline substrates is of significant interest for photovoltaics and other energy harvesting applications. In this paper, we present results on using poly‐Si layers formed by aluminum‐induced crystallization (AIC) on fused quartz wafers as an alternative substrate for the vapor‐liquid‐solid (VLS) growth of vertical Si nanowires. Oxidation of the Al surface to Al2O3 before the a‐Si deposition was shown to be a key requirement in the formation of the poly‐Si template since it promotes the crystallization of the a‐Si into Si(111) which is required for vertical silicon nanowire growth. The effect of Al deposition technique (DC sputtering versus thermal evaporation) on a‐Si crystallization and Si nanowire growth was investigated. The use of Al thermal evaporation yielded AIC poly‐Si layers with the highest fraction of 〈111〉 grains as measured by orientation imaging microscopy (OIM) which enabled the growth of vertical Si nanowires. Cross‐sectional transmission electron microscopy analysis confirmed that the 〈111〉 Si nanowires grew epitaxially off of {111}poly‐Si grains in the AIC layer. This study demonstrates the potential of using AIC poly‐Si as a template layer for the vertical growth of silicon nanowires on amorphous substrates.  相似文献   

5.
The crystallization capability of a parent glass made from a mixture of coal ash (40 wt%) and soda-lime glass was investigated using differential thermal analysis (DTA), X-ray diffraction (XRD) and scanning electron microscopy. Different glass particle size distributions were considered in the range 20-500 μm. Two crystallization exotherms in DTA were attributed to the formation of both pyroxenes (diopside Ca(Mg,Al)(Si,Al)2O6 and augite Ca(Mg,Fe)Si2O6) and plagioclase (Na,Ca)(Si,Al)4O8. These phases were confirmed by XRD analyses. Analysis of non-isothermal DTA data yielded values of 545 kJ/mol and 1.8 for the activation energy of crystallization and the Avrami exponent, respectively. This value for the Avrami exponent was consistent with a decreasing nucleation rate and the observed dendritic morphology. The data on crystallization kinetics obtained in this study are relevant for the production of glass-ceramic materials by a sintering/crystallization method from powder compacts made of this parent glass.  相似文献   

6.
Single crystals of 6H-SiC were epitaxially grown on 6H-SiC substrates in the temperature range of 1500 to 1750°C with gas composition: H2 ≈ 1 l/min, SiCl4 ≈ 1 ml/min, C3H8 ≈ 0.05 ml/min. The grown layers were transparent and mirror-like. The morphology of the grown layer was strongly influenced by the polarity of the substrate surface. Aggregates of trapezoidal crystals were observed on the (0001)C surface and a mosaic pattern was observed on the (0001)Si surface. By observing the initial stage of the crystal growth, the growth mechanism of 6H-SiC is discussed. On (0001)C surfaces the vertical growth dominates, while on (0001)Si surfaces the lateral growth dominates.  相似文献   

7.
Single crystals of β-SiC were prepared on Si substrates at a temperature around 1390°C with the standard conditions: H2 ≈ 1 1/min, SiCl4≈3 ml/min, C3H8≈1 ml/min, deposition period≈10 min. The dependences of the growth rate and the crystallinity on the substrate temperature were studied. By detailed reflection electron diffraction analyses, the crystallinity of β-SiC with 1 μm thickness was found to be better for the layer on the (100) and (110)Si substrates than for that on the (111)Si substrate. An activation energy of 25kcal/mole was obtained for the formation of β-SiC. Optimum conditions to obtain thicker β-SiC films are discussed.  相似文献   

8.
The formation of thermodynamically stable 3/2-mullite (3 Al2OAl3·2 SiO2) was investigated by scanning electron microscopy using reaction couples consisting of 2/1-mullite (2 Al2O3·1 SiO2) plus SiO2 glass, or Na2O-SiO2 glass, respectively. The mullite substrates were partially dissolved, thus leading to Al incorporation in the siliceous phases. In both reaction couples thin layers of stoichiometric 3/2-mullite form on the 2/1-mullite substrates. However, the major mullitization steps are different: The 2/1-mullite/SiO2 reaction couple gives rise to 3/2-mullite crystallization within the bulk of the glass, whereas epitactic growth of c-axis orientated 3/2-mullite needles on the 2/1-mullite substrate was observed in the presence of Na2O-SiO2 glass. The differences in mullite nucleation were attributed to the existence or non-existence of tetrahedral triclusters in the as-reacted non-crystalline Al2O3-SiO2 and Na2O-Al2O3-SiO2 phases, respectively. Triclusters of (Si,Al)O4-tetrahedra in the Al2O3-SiO2 glass may act as nuclei for 3/2-mullite crystallization in the bulk of the glass since these structural units also occur in mullite. In Na2O-Al2O3-SiO2 glasses triclusters are absent, and epitactical 3/2-mullite formation on the mullite substrate becomes more favorable energetically.  相似文献   

9.
Epitaxial growth of thin layers from the liquid phase can occur with the use of solutions saturated under different ambient gases. Most often this process takes place in a vacuum or gaseous atmosphere of hydrogen or argon. As the experimental data show, the morphology of crystallized layers is determined by the ambient type in which the process occurs.The cohesion energy responsible for epitaxial lateral deposition processes on the substrate surface depends on the surface free energy which is a measure of attraction of the solution atoms by substrate atoms. In the case of crystallization of an epitaxial lateral layer of Si on a substrate partially masked with dielectric, the chemical potentials of atoms in the neighboring phases (determining the interface evolution) are not without influence on the relaxation velocity of the saturated liquid phase, and on the horizontal and vertical growth rate.The aim of the investigation was to analyze experimentally the influence of the ambient gases used during the LPE growth on the cohesion of the Sn–Si solution with substrates applied for the lateral epitaxial growth of Si layers. This work presents comparative temperature analysis of the wetting angle of such surfaces as Si, SiO2 and SiNx by the Sn–Si solution.  相似文献   

10.
We have prepared (1 1 1)-oriented Si layers on SiO2 (fused silica) substrates from amorphous-Si(a-Si)/Al or Al/a-Si stacked layers using an aluminum-induced crystallization (AIC) method. The X-ray diffraction (XRD) intensity from the (1 1 1) planes of Si was found to depend significantly on growth conditions such as the thicknesses of Si and Al, deposition order (a-Si/Al or Al/a-Si on SiO2), deposition technique (sputtering or vacuum evaporation) and exposure time of the Al layer to air before the deposition of Si. The crystal orientation of the Si layers was confirmed by θ−2θ, 2θ XRD and electron backscatter diffraction (EBSD). The photoresponse properties of semiconducting BaSi2 films formed on the (1 1 1)-oriented Si layers by the AIC method were measured at room temperature. Photocurrents were clearly observed for photon energies greater than 1.25 eV. The external quantum efficiencies of the BaSi2 were also evaluated.  相似文献   

11.
Si crystals and nano-rods were formed in Al-added amorphous Si films (a-AlxSi1−x; 0.025 x 0.100) by the irradiation of a focused electron-beam; the films were in situ heated to be kept at 400 °C and the current density of the electron-beam was 15.7 pA/cm2. The size, shape, and concentration of the Si crystallites were varied sensitively with the Al content as well as the irradiation time. Under the electron-beam irradiation, crystallization occurred to produce polycrystalline phases in the a-Al0.025Si0.975 film, while rod-shaped Si nanostructures were formed in the a-Al0.050Si0.950 and a-Al0.100Si0.900 film. It is evident that the removal of Al and as a result the atomic rearrangements and/or local restructuring in the Al/a-Si film are critically affected by the electron-beam irradiation, which lead to the local crystallization and growth of Si nanocrystallites.  相似文献   

12.
Phase diagrams have been established to describe very high frequency (vhf) plasma-enhanced chemical vapor deposition (PECVD) of intrinsic hydrogenated silicon (Si:H) and silicon–germanium alloy (Si1?xGex:H) thin films on crystalline Si substrates that have been over-deposited with n-type amorphous Si:H (a-Si:H). The Si:H and Si1?xGex:H films are prepared under conditions used for the top and middle i-layers of high efficiency triple-junction a-Si:H-based n–i–p solar cells. Identical n/i cell structures were co-deposited in this study on textured (stainless steel)/Ag/ZnO which serve as substrate/back-reflectors in order to relate the phase diagrams to the performance parameters of single-junction solar cells. This study has reaffirmed that the highest efficiencies for a-Si:H and a-Si1?xGex:H solar cells are obtained when the i-layers are prepared under previously-described maximal H2 dilution conditions.  相似文献   

13.
Hydrogenated amorphous silicon films (α‐Si:H) were crystallized employing a metal induced crystalline (MIC) technique. Structural changes during annealing these films at 300 °C for different periods (0‐300 minutes) were obtained by XRD. Al was used as a metal induced crystalline for α‐Si:H produced by ultra high vacuum (UHV) plasma enhanced chemical vapor deposition (PECVD). XRD shows that crystallization of the interacted α‐Si:H film underneath Al initiates at 300 °C for 15 minutes. A complete crystallization was obtained after annealing for 60 minutes. A gold dot was evaporated onto α‐Si:H films, which annealed for different periods to form Schottky barriers. Electrical properties of Au/α‐Si:H were calculated such as the ideality factor, n, barrier height, ΦB, donor concentration, ND, and the diffusion voltage, Vd, as a function of the annealing time of α‐Si:H films. All these parameters were carried out through the current voltage characteristics (J‐V) and the capacitance voltage measurements (C‐V). The results were presented a discussed on the basis of XRD performance and the thermionic emission theory.  相似文献   

14.
Heteroepitaxial growth of γ-Al2O3 films on a Si substrate and the growth of Si films on the γ-Al2O3/Si structures by molecular beam epitaxy have been investigated. It has been found from AFM and RHEED observations that, γ-Al2O3 films with an atomically smooth surface with an RMS values of ∼3 Å and high crystalline quality can be grown on Si (1 1 1) substrates at substrate temperatures of 650–750°C. Al2O3 films grown at higher temperatures above 800°C, did not show good surface morphology due to etching of a Si surface by N2O gas in the initial growth stage. It has also been found that it is possible to grow high-quality Si layers by the predeposition of Al layer followed by thermal treatment prior to the Si molecular beam epitaxy. Cross-sectional TEM observations have shown that the epitaxial Si had significantly improved crystalline quality and surface morphology when the Al predeposition layer thickness was 10 Å and the thermal treatment temperature was 900°C. The resulting improved crystalline quality of Si films grown on Al2O3 is believed to be due to the Al2O3 surface modification.  相似文献   

15.
Vertically aligned arrays of ZnO nanorod were synthesized on the Au/SiO2/Si(1 0 0) substrate by a simple aqueous solution growth process, without pre-prepared ZnO seed layer. For comparison, glass and SiO2/Si were also used as substrates, and the results show that the Au layer plays a decisive role in orienting the growth of the ZnO nanorod. The effects of other growth parameters, including Zn2+ concentration and growth time, on morphology, density, and orientation of the ZnO nanostructure were also studied and with longer reaction time, a new structure namely ZnO nanotip was obtained. Moreover, the growth mechanism of ZnO nanorod arrays grown on the Au/SiO2/Si substrate was proposed.  相似文献   

16.
Sodium- and aluminum-rich high-level nuclear waste glasses are prone to nepheline (NaAlSiO4) crystallization. Since nepheline removes three moles of glass-forming oxides (Al2O3 and SiO2) per mole of Na2O, the formation of this phase can result in severe deterioration of the chemical durability in a given glass. The present study aims to investigate the relationships between the molecular-level structure and the crystallization behavior of sodium alumino-borosilicate-based simulated high-level nuclear waste glasses with infrared spectroscopy (FTIR) and X-ray diffraction, respectively. The molecular structure of most of the investigated glasses comprise a mixture of Q2 and Q3 (Si) units while aluminum and boron are predominantly present in tetrahedral and trigonal coordination, respectively. The increasing boron content has been shown to suppress the nepheline formation in the glasses. The structural influence of various glass components on nepheline crystallization is discussed.  相似文献   

17.
Nitrified HfO2/Si substrate was used to grow GaN-based film with molecular beam epitaxy. Four-period InGaN/GaN layered structure and p/n junction were deposited on the nitrified HfO2/Si. X-ray photoelectron spectroscopy (XPS) result shows that N was effectively incorporated into the HfO2. The crystallographic relationship of the GaN/HfO2/Si is GaN(0 0 0 2)∥HfO2(1 1 1)∥Si(1 1 1). Temperature-dependent photoluminescence (PL), PL peak wavelength, PL peak intensity, and PL full-width at half-maximum of the p/n junction were investigated. Light-emitting diode was fabricated from the p/n junction. Red light was emitted at low voltage and yellow light was emitted when increasing the voltage.  相似文献   

18.
Single crystals of α-SiC were grown on α-SiC substrates at a temperature between 1570 and 1630°C with the standard gas flow rate: H2 ~ 1 liter/min, SiCl4 ~ 1.7 ml/min and C3H8 ~ 0.1 ml/min. The grown layers were transparent greenish-blue, and surfaces were mirror-like. By an X-ray back-reflection Laue pattern and a reflection electron diffraction method, the grown layer was identified as 6H-SiC, one polytype of α-SiC. Crystal growth was influenced by substrate temperature, flow rates of reaction gases and the surface polarity of the substrate. The growth rate decreased with increase of the substrate temperature in the above temperature region. A lamellar structure was observed on the (0001) Si surface and a mosaic structure was observed on the (0001)C surface. The mole ratios of both SiCl4 and C3H8 to H2 and that of Si to C had some influence on crystal growth. Undoped layers were n-type due to nitrogen. P-type SiC was grown by doping Al during crystal growth. Doping effects were studied by photoluminescence and electrical measurements.  相似文献   

19.
Amorphous ribbon specimen of (Ni0.75Fe0.25)78Si10B12 has been prepared by a single roller melt-spinning technique in the air atmosphere. The crystallization kinetics of the alloy has been investigated using different thermal analysis by means of continuous heating and isothermal heating. The activation energy of the alloy has been calculated by using Kissinger plot method and Ozawa plot method based on differential thermal analysis data, respectively. The products of crystallization have been analyzed by X-ray diffraction. A single phase of γ-(Fe, Ni) solid solution with grain size of about 10.3 and 18.5 nm precipitates in the amorphous matrix after annealing at temperatures 715 and 745 K, respectively. The crystallized phases are γ-(Fe, Ni) solid solution, Fe2Si, Ni2Si, Fe3B and unidentified phase after annealing at 765 K. The details of nucleation and growth during the isothermal crystallization are expatiated in terms of local Avrami exponent and local activation energy.  相似文献   

20.
The crystallization behavior and microstructure development of the Zr61Al7.5Cu17.5Ni10Si4 alloy during annealing were investigated by isothermal differential scanning calorimetry, X-ray diffractometry and transmission electron microscopy. During isothermal annealing of the Zr61Al7.5Cu17.5Ni10Si4 alloy at 703 K, Zr2Cu crystals with an average size of about 5 nm were first observed during the early stages (30% crystallization) of crystallization by TEM. The Zr2Cu crystal size increased with annealing time and attained an average size of 20 nm corresponding to the stage of 80% crystallization. In addition, the change in particle size with increasing annealing time exhibited a linear relationship between grain growth time and the cube of the particle size for the Zr2Cu type crystalline phase. This indicates that the crystal growth of the Zr61Al7.5Cu17.5Ni10Si4 alloy belongs to a thermal activated process of the Arrhenius type. The activation energy for the grain growth of Zr2Cu is 155 ± 20 kJ/mol in the Zr61Al7.5Cu17.5Ni10Si4 amorphous alloy. The lower activation energy for grain growth in compared to that for crystallization in Zr65Cu35 440 kJ/mol crystal corresponds to the rearrangement of smaller atoms in the metallic glass, Al or Si (compare to Zr).  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号