首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Multi-junction silicon-based thin-film solar cells are attractive materials for further cost-reduction and high efficiency. Meanwhile, it is also well considered that a concentrator solar cell is another alternative approach to enhance the conversion efficiency. In concentrator solar cells, the photocurrent linearly increases with the concentration ratio of incident light. At the same time, the open-circuit voltage (Voc) of solar cells increases logarithmically with the photocurrent. This leads to an increase in efficiency with increasing sunlight intensity.We proposed a novel hetero-junction structure microcrystalline silicon (μc-Si:H) solar cell structure using wide-gap microcrystalline silicon oxide (μc-Si1 ? xOx:H) as p-layer and it has some advantages over conventional homo-junction μc-Si:H solar cells under low concentrations. It was observed that wide-gap doped layers can reduce carrier recombination rate especially in p-layer and at the p/i interface and Voc enhancement with increasing light intensity improves as the band gap of p-layer is increased. Our best solar cell has efficiencies of 9.2% at 1 sun and 10.4% at 11.8 suns. We also investigated the degradation behavior of hetero-junction μc-Si:H solar cells. The degradation in efficiency for this type of solar cell was less than 6%. Therefore, hetero-junction μc-Si:H solar cell is the promising alternative for low-light concentration.  相似文献   

2.
《Journal of Non》2006,352(9-20):896-900
In this study, employing a high-density, low-temperature SiH4–H2 mixture microwave plasma, we investigate the influence of source gas supply configuration on deposition rate and structural properties of microcrystalline silicon (μc-Si) films, and demonstrate the plasma parameters for fast deposition of highly crystallized μc-Si films with low defect density. A fast deposition rate of 65 Å/s has been achieved for a SiH4 concentration of 67% diluted in H2 with a high Raman crystallinity of Xc > 65% and a low defect density of (1–2) × 1016 cm−3 by adjusting source gas supply configuration and plasma conditions. A sufficient supply of deposition precursors, such as SiH3, as well as atomic hydrogen H on film growing surface is effective for the high-rate synthesis of highly crystallized μc-Si films, for the reduction in defect density, and for the improvement in film homogeneity and compactability. A preliminary result of p–i–n structure μc-Si thin-film solar cells using the resulting μc-Si films as an intrinsic absorption layer is presented.  相似文献   

3.
Micro-Raman mappings have been used for characterization of our layers system developed for thin-film silicon solar cells. For the cubic SiC barrier layer a preferential orientation of the grains in 〈1 1 1〉 direction normal to the substrate was revealed. A high density of stacking faults resulted in the splitting of transversal optical (TO)-phonon modes, usually only observed in several non-cubic SiC polytypes. Within the silicon layers, which were obtained by zone melting recrystallization (ZMR) and subsequent epitaxial growth, a high residual stress of about 625 MPa was measured near the boundary towards the SiC layer. Outside of this boundary no residual stress could be detected, in spite of commonly found twin boundaries. Thus the main origin of residual stress in the silicon layers is due to the different expansion coefficients of the respective layers, while grain boundaries have no dominant effect.  相似文献   

4.
Effects of deposition conditions on the structure of microcrystalline silicon carbide (μc-SiC) films prepared by hot-wire chemical vapor deposition (hot-wire CVD) method have been investigated. It is found from X-ray diffraction patterns of the film that a diffraction peak from crystallites from hexagonal polytypes of SiC is observed in addition to those of 3 C-SiC crystallites. This result is obtained in the film under a narrow deposition conditions of SiH3CH3 gas pressure of 8 Pa, the H2 gas pressure of 80–300 Pa and the total gas pressure of 40–300 Pa under fixed substrate and filament temperatures employed in this study. Furthermore, the grain size of hexagonal crystallites (about 20 nm) on c-Si substrates becomes larger than that of 3 C-SiC crystallites (about 10 nm) for the films deposited under the total gas pressure of 36–88 Pa. The fact that microcrystalline hexagonal SiC can be deposited under limited deposition conditions could be interpreted in the context of a result for c-SiC polytypes prepared by thermal CVD method.  相似文献   

5.
A simple quantitative model for the surface adsorption of nitrogen has been developed to simulate the doping incorporation in intentionally doped 4H–SiC samples during epitaxial growth. Different reaction schemes are necessary for the two faces of SiC. The differences are discussed, and implications to the necessary model adjustments are stressed. The simulations are validated by experimental values for a large number of different process parameters with good agreement.  相似文献   

6.
The continuous deposition of microcrystalline silicon has been monitored with in-situ Raman spectroscopy. The process and measurement settings were chosen such that one spectrum was taken during approximately 9 nm of layer growth. This allows observing the crystallinity in the initial growth phase of microcrystalline silicon absorber layers. The influence of different p-doped seed layers has been studied. Under constant deposition conditions, an initial decrease in crystallinity was observed over the first tens of nanometers. By profiling the process gas flows during the initial phase it was possible to reduce the amount of amorphous material that was detected during the initial phase of deposition.  相似文献   

7.
After a brief overview of different epitaxial layer growth techniques, the homoepitaxial chemical vapour deposition (CVD) of SiC with a focus on hot-wall CVD is reviewed. Step-controlled epitaxy and site competition epitaxy have been utilized to grow polytype stable layers more than 50 μm in thickness and of high purity and crystalline perfection for power devices. The influence of growth parameters including gas flow, C/Si ratio, growth temperature and pressure on growth rate and layer uniformity in thickness and doping are discussed. Background doping levels as low as 1014 cm−3 have been achieved as well as layers doped over a wide n-type (nitrogen) and p-type (aluminium) range.

Furthermore the status of numerical process simulation is mentioned and SiC substrate preparation is described. In order to get flat and damage free epi-ready surfaces, they are prepared by different methods and characterised by atomic force microscopy and by scanning electron microscope using channelling patterns. For the investigation of defects in SiC high purity CVD layers are grown. The improvement of the quality of bulk crystal substrates by micropipe healing and so-called dislocation stop layers can further decrease the defect density and thus increase the yield and performance of devices. Due to its high growth rate functionality and scope for the use of multi-wafer equipment hot-wall CVD has become a well-established method in SiC-technology and has therefore great industrial potential.  相似文献   


8.
n-Type hydrogenated nanocrystalline cubic silicon carbide (nc-3C–SiC:H) films have been deposited by very high-frequency plasma-enhanced chemical vapor deposition at a low substrate temperature of about 360 °C to apply this material to the window layer of heterojunction crystalline silicon (HJ-c-Si) solar cells. We investigated the effect of in situ doping on deposition rate, crystalline volume fraction and dark conductivity to optimize properties of the material. We also fabricated HJ-c-Si solar cells with a n-type nc-3C–SiC:H window layer. The solar cells shows high internal quantum efficiency of 0.90 at a wavelength of 400 nm, indicating that n-type nc-3C–SiC:H deposited by VHF-PECVD is a promising candidate of the window layer of HJ-c-Si solar cells.  相似文献   

9.
《Journal of Non》2006,352(9-20):1093-1096
A photocurrent time-of-flight study of carrier transport in microcrystalline silicon pin diodes prepared over a range of crystallinities is presented. Electron and hole drift mobilities at a crystalline volume fraction >0.35 are typically 3.8 and 1.3 cm2/(V s) respectively at 300 K and a thickness to electric field ratio of 1.8 × 10−7 cm2/V. A factor of five enhancement in hole mobility over amorphous silicon persists at a crystalline volume fraction as low as 0.1. Current decays are dispersive and mobilities are thermally activated, although detailed field-dependence is still under investigation. Evidence for a sharp fall in the density of states at 0.13 eV above the valence band edge is presented. Similarities in behaviour with certain amorphous and polymorphous silicon samples are identified.  相似文献   

10.
The structural and electrical properties of silicon layers epitaxially grown on metallurgical-grade polycrystalline silicon substrates are examined to clarify the effect of grain boundaries, crystal defects and impurities in the substrates. Chemical etching of the epitaxial layer reveals that all the grain boundaries continue from the substrate into the epitaxial layer, whereas lines of high density etch pits do not always continue. The polycrystalline thin film solar cells are fabricated on the metallurgical-grade silicon substrates by successive deposition of p and n+ layers. These cells show short circuit current densities around 70% of that of the conventional single crystal cell. This reduction of the short circuit current is caused mainly by the short minority carrier diffusion length in the grains probably due to impurities involved in the epitaxial layers. The origins of such impurities are discussed by considering autodoping and solid-state diffusion from the substrate during growth of epitaxial layers.  相似文献   

11.
I. Sieber  I. Urban 《Journal of Non》2007,353(26):2550-2556
We investigated epitaxial silicon films deposited on differently oriented substrates by pulsed magnetron sputtering at temperatures of 500-550 °C. Our scanning and transmission electron microscopic as well as electron backscattering investigations show that epitaxial films grow not only on (1 0 0)-oriented substrates, but also on (2 1 0)-, (4 1 1)- and (3 1 1)-oriented ones. A change to the (1 0 0) orientation is found for the growth on (1 1 1)- , (3 2 1)- and close to (1 1 0)-oriented substrates. For these orientations transmission electron microscopic investigations show stacking faults, microtwins and small amorphous inclusions in a region starting at the substrate-film interface up to thicknesses of 150-200 nm. With increasing film thickness above 200 nm the crystalline perfection of the epitaxial layers improves.  相似文献   

12.
Crystal defects of various kinds found in epitaxially grown Si/Ge alloy layers on Si substrate, may be either inherent to the material and originating from atomic radii misfit, or can be traced to the growth process and controlled or eliminated by varying its parameters. A network of slip lines, becoming more pronounced with increased Ge content, indicates plastic deformation resulting from partial relief of stresses during the high temperature growth process. Electron microprobe and X-ray diffraction analysis indicate some Ge segregation in the fault vicinity, and a slight anisotropy in the lattice constant expansion due to the Ge.  相似文献   

13.
《Journal of Non》2007,353(22-23):2289-2294
Different rf-power and chamber pressures have been used to deposit boron doped hydrogenated silicon films by the PECVD method. The optoelectronic and structural properties of the silicon films have been investigated. With the increase of power and pressure the crystallinity of the films increases while the absorption decreases. As a very thin p-layer is needed in p–i–n thin film solar cells the variation of properties with film thickness has been studied. The fraction of crystallinity and thus dark conductivity vary also with the thickness of the film. Conductivity as high as 2.46 S cm−1 has been achieved for 400 Å thin film while for 3000 Å thick film it is 21 S cm−1. Characterization of these films by XRD, Raman Spectroscopy, TEM and SEM indicate that the grain size, crystalline volume fraction as well as the surface morphology of p-layers depend on the deposition conditions as well as on the thickness of the film. Optical band gap varies from 2.19 eV to 2.63 eV. The thin p-type crystalline silicon film with high conductivity and wide band gap prepared under high power and pressure is suitable for application as window layer for Silicon thin film solar cells.  相似文献   

14.
The defect structure of a disturbed layer formed in the process of erosion cutting of bulk silicon carbide crystals has been studied experimentally. The characteristic features revealed are discussed in terms of the erosion-front interaction with growth defects and of the partial electrical volume breakdown in SiC.  相似文献   

15.
《Journal of Non》2006,352(9-20):906-910
Microcrystalline silicon (μc-Si) films have been deposited on polyimide, Corning glass and c-Si(0 0 1) by rf plasma-enhanced chemical vapour deposition (PECVD) using both SiF4–H2 and SiH4–H2 plasmas. The effect of substrate pre-treatment using SiF4–He and H2 plasmas on the nucleation of crystallites is investigated. Real-time laser reflectance interferometry monitoring (LRI) revealed the existence of a ‘crystalline seeding time’ that strongly impacts on the crystallite nucleation, on the structural quality of the substrate/μc-Si interface and on film microstructure. It is found that SiF4–He pre-treatment of substrates is effective in suppressing porous and amorphous interface layer at the early nucleation stage of crystallites, resulting in direct deposition of μc-Si films also on polyimide at the temperature of 120 °C.  相似文献   

16.
Microstructures of microcrystalline silicon (μc-Si) deposited at a high-growth-rate have been investigated in order to apply to the photovoltaic i-layer. μc-Si films were prepared by very-high-frequency (100 MHz) plasma-enhanced chemical vapor deposition at 180 °C. High growth rates of 3.3–8.3 nm/s have been achieved utilizing high deposition pressures up to 24 Torr and large input powers. Applying μc-Si to n–i–p junction solar cells, as the optimum result in this experimental series, a conversion efficiency of 6.30% (JSC: 22.1 mA/cm2, VOC: 0.470 V, and FF: 60.7%) has been achieved employing the i-layer deposited at 8.1 nm/s. Raman scattering and X-ray diffraction measurements revealed the crystalline volume fraction of around 50% with the (2 2 0) crystallographic preferential orientation, respectively. The cross-sectional transmission electron microscope image shows densely columnar structure grown directly on the underlying n-layer. These structural features are basically in good agreement those of low-growth-rate μc-Si used for a high efficiency solar cell as previously reported, implying advantages of the use of high pressures with regard to providing the photovoltaic i-layers. Finally, the implication is discussed from the photovoltaic performance as a function of the crystalline volume fraction of i-layer, and current problems in improving the photovoltaic performance are extracted.  相似文献   

17.
《Journal of Non》2006,352(9-20):1045-1048
Undoped hydrogenated silicon films have been prepared from a gas mixture of silane and hydrogen, varying substrate temperature from 180–380 °C in an ultrahigh vacuum system using RFPECVD technique. XRD and Raman measurements enable us to know that the films are microcrystalline throughout the substrate temperature range. Bond formation of the SiH films at different substrate temperature is studied through different characterisation techniques like Fourier transform infrared spectroscopy and hydrogen evolution study. The infrared absorption spectroscopy and hydrogen evolution study reveal two types of growth: the formation of a void rich material at low Ts (∼180 °C) and a compact material at comparatively higher Ts.  相似文献   

18.
19.
The focussed beam of a low-power helium–neon laser is used to study accelerated light-induced degradation (Staebler–Wronski effect) and high steady-state photocarrier generation rates in amorphous and microcrystalline silicon thin-film solar cells, at up to 13 MW m? 2 irradiance. Even at these high power densities, COMSOL® simulations indicate that heat diffusion into the substrate, aided by spreading conduction via the Ag back-contact, restricts the temperature rise to less than 14 °C. Short-circuit current may be measured directly, and the IV characteristic estimated by taking into account shunting by the inactive part of the cell. The improved resistance to degradation of microcrystalline silicon cells is shown to persist to high irradiance. Computer simulations of an amorphous silicon solar cell are presented that are consistent with measured un-degraded and degraded properties, and offer insight into prevailing defect creation processes and carrier recombination mechanisms.  相似文献   

20.
Well-crystallized straight Si nanowires (SiNWs) were successfully prepared in large scale via a facile reaction between NaN3 and Na2SiF6 at 600 °C without using any catalyst. Characterization by X-ray powder diffraction and transmission electron microscopy demonstrates that the as-obtained product is pure-phase cubic SiNWs with diameters of 40 nm or so, and lengths of several micrometers. And the SiNWs with spherical tips can be obtained at a temperature as low as 300 °C. Heating temperature and holding time have crucial influence on the synthesis and morphology of the SiNWs. An oxide-assisted growth mechanism is responsible for the formation of the SiNWs.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号