首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 156 毫秒
1.
Nowadays, microelectronic industry targets (in term of down-scaling and throughput) require some severe reduction of the SiGe epitaxial growth temperature or/and increase of the growth rate. A possible alternative to meet these requirements is low-energy plasma-enhanced chemical vapor deposition (LEPECVD). We have studied the deposition kinetics of silicon, silicon–germanium and germanium using LEPECVD. This new deposition technique offers promising advantages compared to thermally activated CVD such as low deposition temperature and high growth rate. Different regimes are observed depending on the growth temperature. High temperatures can be associated to a mix between thermally and plasma-activated deposition, whereas only plasma-assisted deposition occurs at low temperatures. Crystalline quality of the layers was checked through the mean of photoluminescence, which revealed no defects. A high growth rate (100 nm min−1) that can be achieved very easily with LEPECVD allows to grow quickly very thick layers. We have used this technique to grow step-graded thick SiGe layers which are almost fully relaxed. Those virtual substrates exhibited the well-known cross-hatch pattern, with RMS roughness from 2 to 10 nm for pure Ge layers.  相似文献   

2.
A quarter wave stack dielectric filter with normal incidence pass band in near-infrared range was prepared from alternating high index contrast chalcogenide films. The prepared filter consists of a low index Ge–S spacer layer surrounded by two 4.0 pairs Sb–Se/Ge–S reflectors. Films were deposited using flash and thermal evaporation techniques. After deposition, the filter was annealed at 165 °C for 1 h. Optical reflectivity measurements of the annealed filter revealed a ~63% normal incidence passband near 1540 nm. An ~80% passband was recorded after illumination of the filter by the light with s-polarization at angles 35°, 45° and 55°, while its position shifted to 1451, 1476 and 1505 nm, respectively. A ~75% passband appeared near 1436, 1467 and 1498 nm in response to illumination of the filter by the light with p-polarization at the same angles. The angular dependence of the reflectivity of dielectric multilayer can be exploited for filtering of incident light.  相似文献   

3.
We report the preparation of multilayers based on polyamide–imide polymer and As–Se or Ge–Se chalcogenide thin films. Chalcogenide films of As–Se and Ge–Se systems were deposited using a thermal evaporation method periodically alternating with spin-coated Polyamide–imide films. Fifteen layers of PAI + As–Se system and nineteen layers of PAI + Ge–Se system were coated. Optical properties of prepared multilayers have been established using UV–vis–NIR and Ellipsometric spectroscopy. Both, PAI + As–Se and PAI + Ge–Se multilayer systems, exhibited the high-reflection bands centered around 830 nm and 1350 nm, respectively. The shift of the band position of PAI + Ge–Se multilayers to lower energies was caused by higher thickness of Ge–Se films. The bandwidth of reflection band of 8 PAI + 7 As–Se multilayer was ~90 nm while bandwidth of PAI + Ge–Se system decreased to ~70 nm because Ge–Se films have 0.1 lower refractive index against As–Se films. Design of 1D-photonic crystals based on alternating chalcogenide and polymer films is a new opportunity for application of chalcogenide thin films as optical materials for near-infrared region.  相似文献   

4.
In this work, refractive index and extinction coefficient spectra of germanium nanoclusters – rich SiO2 layers have been determined using variable angle spectroscopic ellipsometry (VASE) in the 250–1000 nm range. The samples were produced by Ge+ ion implantation into SiO2 layers on Si substrates and subsequent annealing at temperatures from 700 to 1100 °C. It is known from previous investigations of similar samples that the Ge nanoclusterization process starts already at 800 °C and spherical Ge nanocrystallites 5–8 nm in diameter are observed in the SiO2 layers after annealing for 1 h at even higher temperatures of 1000–1100 °C. Rutherford backscattering spectrometry (RBS) was employed to measure the Ge atom concentration depth profiles in the studied samples. The RBS results helped us choose realistic models for the VASE analysis which were necessary for a proper interpretation of the VASE data. It has been found that the refraction index value for the SiO2/Si layer increases after Ge implantation. This effect can be explained by a defect-dependent compaction of ion-bombarded layers. A band’s tail in the extinction coefficient spectra for all the samples is observed which originates from a strong ultraviolet absorption band at 6.8 eV due to a Germanium Oxygen-Deficiency Center (GeODC) and/or a Ge-E’center in SiO2. The annealing process results in the emergence of weaker extinction coefficient bands in the 400–600 nm region, associated with direct band-to-band transitions in Ge nanostructures. Transformation of these bands, including their blue-shift with the increasing annealing temperature could be explained via a quantum-confinement mechanism, by size and structural changes in Ge nanostructures.  相似文献   

5.
T. Matsui  K. Ogata  C.W. Chang  M. Isomura  M. Kondo 《Journal of Non》2008,354(19-25):2468-2471
We report on the carrier collection characteristics of hydrogenated microcrystalline silicon–germanium (μc-Si1?xGex:H) p–i–n junction solar cells fabricated by low-temperature (~200 °C) plasma-enhanced chemical vapor deposition. Spectral response measurements reveal that the Ge incorporation into absorber i-layer reduces the quantum efficiencies at short wavelengths. Furthermore, the illumination of the solar cells for x ? 0.35, particularly in the wavelength range of <650 nm, induces a strong injection-level-dependent p–i interface recombination and a weak collection enhancement in the bulk. These results indicate that space charges near the p–i interface are largely negative, which gives rise to an electric field distortion in the i-layer. We attribute the negative space charges to the presence of the acceptor-like states that are responsible for the strong p-type conduction observed in undoped μc-Si1?xGex:H films for large Ge contents.  相似文献   

6.
《Journal of Non》2006,352(9-20):892-895
We report on the growth of nanocrystalline Si:H and Ge:H films. The films were grown using plasma deposition and hot wire chemical growth techniques. Conditions such as pressure, temperature and hydrogen dilution were systematically varied. It is shown that excessive hydrogen dilution during growth leads to smaller grains in nanocrystalline Si and Ge. Films with very large grains (56 nm) could be obtained using hot wire growth techniques under appropriate conditions of growth. From the data, it is concluded that the natural growth direction for the films is 〈2 2 0〉, and that excessive bonded hydrogen leads to smaller grains.  相似文献   

7.
《Journal of Non》2006,352(9-20):1255-1258
Microcrystalline silicon–germanium (μc-Si1−xGex:H) alloy films have been grown by 100-MHz glow-discharge of a SiH4/GeH4/H2 gas mixture. Alloys over a full range of compositions were prepared to gain a comprehensive understanding of their growth and material properties. With increasing GeH4 concentration in the gas-phase, we observed a preferential Ge incorporation behavior in the solid. Growth rate studies revealed that the Ge incorporation efficiency from source gas to solid is about five times greater than for Si at growth temperature of 200 °C, which accounts for the variation of alloy composition. With increasing Ge incorporation in the solid, on the other hand, we find a monotonic decrease in photoconductivity, followed by an electrical transition from weak n-type to strong p-type conduction at x > 0.7. At x  0.4, however, we obtained relatively high photoconductivity gains by a factor of 20 and strong infrared response in the solar cell structure. The Ge incorporation behavior and its effect on charge carrier transport are discussed.  相似文献   

8.
To investigate the deposition of Ge films without toxic gas such as germane, we have studied the Ge films prepared by the hot-wire technique, which utilize the reaction between a Ge target and hydrogen atoms generated by the hot-wire decomposition of H2 gas. The films deposited on Si substrate were microcrystalline Ge films and the mean crystallite size of the films increased from 13.3 to 24.8 nm with increasing the substrate temperature from 300 to 500 °C. Moreover, the deposition rate of Ge films deposited on Si substrate was higher than that of Ge films deposited on Corning 1737 substrate. It was found that the substrate temperature and the kind of substrate are key parameters for the preparation of microcrystalline Ge films by the hot-wire technique.  相似文献   

9.
Lead sulfide PbS and lead selenide PbSe quantum dots (QDs) were synthesized in novel alumino-alkali-silicate glass. The synthesis of the nanocrystals was stabilized by introduction of two alkaline components. The presence of crystalline phase was confirmed by X-ray diffraction analysis, transmission electron microscopy and optical spectroscopy. For PbS (PbSe) QD-doped glass, the position of the 1S–1S excitonic absorption peak can be managed in the spectral range of 1.5–2.1 μm (for PbS) or 1.8–2.2 μm (for PbSe) by appropriate heat‐treatment mode. The corresponding QD average diameter was found to be 5.8–9.7 nm (for PbS) and 7.5–9.5 nm (for PbSe). The influence of the secondary heat-treatment at the temperatures of 490–525 °C on the PbS QD growth in the glass matrix initially treated at 480 °C was studied in details. The photoluminescence of the PbS-QD-doped glass was observed, it was referred to the radiative recombination of the excitons from the 1S–1S state. The possibility to obtaining narrow 1S–1S absorption lines at the wavelengths longer than 2 μm is discussed.  相似文献   

10.
《Journal of Non》2007,353(13-15):1437-1440
Surface morphology and roughness of amorphous spin-coated As–S–Se chalcogenide thin films were determined using atomic force microscopy. Prepared films were coated from butylamine solutions with thicknesses d  100 nm and then annealed in a vacuum furnace at 45 °C and 90 °C for 1 h for their stabilization. The root mean square surface roughness analysis of surfaces of as-deposited spin-coated As–S–Se films indicated a very smooth film surface (with Rq values 0.42–0.45 ± 0.2 nm depending on composition). The nanoscale images of as-deposited films confirmed that surface of the films is created by domains with dimensions 20–40 nm, which corresponds to diameters of clusters found in solutions. The domain character of film surfaces gradually disappeared with increasing annealing temperature while the solvent was removed from the films. Middle-infrared transmission spectra recorded a decrease of intensities of vibration bands connected to N–H (at 3367 and 3292 cm−1) and C–H (at 2965, 2935 and 2880 cm−1) stretching vibrations. Temperature regions of solvent evaporation T = 60–90 °C and glass transformation temperatures Tg = 135–150 °C of spin-coated As–S–Se thin films were determined using a modulated differential scanning calorimetry.  相似文献   

11.
We have studied the epitaxial-like growth of germanium (Ge), due to solid phase crystallization (SPC) from amorphous Ge (a-Ge) deposited on single crystal silicon (Si) substrate. The crystalline growth of Ge following the orientation of Si substrates was successfully obtained by the SPC at 400 °C or higher. The preferential growth on Si (111) substrates continues up to 10,000 Å. Different orientations from the substrate orientation in XRD patterns are slightly observed in the growth on Si (100) substrates at 450 °C, but the preferential growth of (100) orientation continued in the whole film thickness in TEM images. The epitaxial-like growth of Ge may be more preferable on the Si (111) substrate than the (100) one.  相似文献   

12.
《Journal of Non》2006,352(23-25):2343-2346
Zinc oxide thin films were deposited on silicon and corning-7059 glass substrates by plasma enhanced chemical vapor deposition at different substrate temperatures ranging from 36 to 400 °C and with different gas flow rates. Diethylzinc as the source precursor, H2O as oxidizer and argon as carrier gas were used for the preparation of ZnO films. Structural and optical properties of these films were investigated using X-ray diffraction, reflection high energy electron diffraction, atomic force microscopy and photoluminescence. Highly oriented films with (0 0 2) preferred planes were obtained on silicon kept at 300 °C with 50 ml/min flow rate of diethylzinc without any post annealing. Reflection high energy electron diffraction pattern also showed the crystalline nature of these films. A textured surface with rms roughness ∼28 nm was observed by atomic force microscopy for the films deposited at 300 °C. A sharp peak at 380 nm in the PL spectra indicated the UV band-edge emission.  相似文献   

13.
Tin dioxide thin films were prepared by pulsed laser deposition techniques on clean glass substrates, and the thin films were then annealed for 30 min from 50 to 550 °C with a step of 50 °C, respectively. The influence of the annealing temperature on the microstructural and morphological properties of the tin dioxide thin films was investigated using X-ray diffraction, scanning electron microscopy, transmission electron microscopy and selected area electron diffraction. The experimental results showed that the amorphous microstructure almost transformed into a polycrystalline tin dioxide phase exhibiting a preferred orientation related to the (1 1 0), (1 0 1) and (2 1 1) crystal planes with increased temperatures. The thin film annealed at 200 °C demonstrated the best crystalline properties, viz. optimum growth conditions. However, the thin film annealed at 100 °C revealed the minimum average root-mean-square roughness of 20.6 nm with average grain size of 26.6 nm. These findings indicate that the annealing temperature is very important parameter to determining the thin film quality, which involves the phase formation, microstructure and preferred orientation of the thin films.  相似文献   

14.
We have prepared highly-crystallized germanium (Ge) films on quartz and evaluated their local charge trapping and electrical conduction properties from topographic and surface potential images simultaneously taken by a conductive atomic force microscopy (AFM) during and after current application to Ge films. By applying a bias of 10 V at which the current of ~ 8 mA flows between the co-planer electrodes on Ge films, the surface potential image which was uniform before bias application shows in-plane inhomogeneity within ~ 1.0 mV commensurate with the surface morphology. Such potential images remained inhomogeneous at zero bias for more than two hours after bias application. The inhomogeneous potential images can be interpreted in terms of the difference in electron concentration in highly-crystallized Ge films presumably caused by electron charging in the grain boundaries, indicating direct detection of electrically separated grain structures and resultant percolation current pass.  相似文献   

15.
ZnO films were prepared by post deposition thermal oxidation in the ambient atmosphere of metallic Zn films (d = 100–170 nm) vacuum evaporated onto unheated indium tin oxide (ITO)-coated glass substrates. To study the effect of the substrate position during the Zn film deposition on the microstructure and optical properties (transmittance, reflectance and absorbance) of as obtained ZnO films, two set of Zn samples simultaneously deposited onto horizontally and obliquely arranged substrates were prepared. The as obtained ZnO films had a polycrystalline wurtzite structure, those obtained from normally deposited Zn films having a higher c-axis preferred orientation and a lower optical transmittance in the visible wavelength range. The optical band-gap was found to be of 3.14 eV for oxidized normally deposited virgin Zn films and of 3.16 eV for those obliquely deposited.  相似文献   

16.
Effects of deposition conditions on the structure of microcrystalline silicon carbide (μc-SiC) films prepared by hot-wire chemical vapor deposition (hot-wire CVD) method have been investigated. It is found from X-ray diffraction patterns of the film that a diffraction peak from crystallites from hexagonal polytypes of SiC is observed in addition to those of 3 C-SiC crystallites. This result is obtained in the film under a narrow deposition conditions of SiH3CH3 gas pressure of 8 Pa, the H2 gas pressure of 80–300 Pa and the total gas pressure of 40–300 Pa under fixed substrate and filament temperatures employed in this study. Furthermore, the grain size of hexagonal crystallites (about 20 nm) on c-Si substrates becomes larger than that of 3 C-SiC crystallites (about 10 nm) for the films deposited under the total gas pressure of 36–88 Pa. The fact that microcrystalline hexagonal SiC can be deposited under limited deposition conditions could be interpreted in the context of a result for c-SiC polytypes prepared by thermal CVD method.  相似文献   

17.
Hot-wire chemical vapor deposition is employed for the deposition of amorphous and microcrystalline silicon layers at substrate temperature kept below 100 °C with the aid of active cooling of the substrate holder. The hydrogen dilution is varied in order to investigate films at the amorphous-to-microcrystalline transition. While the amorphous layers can be produced with a reasonably low defect density as deduced from subgap optical absorption spectra and a good photosensitivity, the microcrystalline layers are of a lesser quality, most probably due to a decrease of crystallinity during the film growth. In the amorphous growth regime, the Urbach energy values decrease with increasing hydrogen dilution, reaching a minimum of 67 meV just before the microcrystalline threshold. By varying the total gas pressure, the growth rate of the films is changed. The lowest deposition rate of this study (0.16 nm/s) produced the amorphous sample with the highest photoresponse (1 × 106).  相似文献   

18.
《Journal of Non》2005,351(49-51):3716-3724
Li2S + GeS2 + GeO2 ternary glasses have been prepared and a wide glass-forming range was obtained. The glass transition temperatures increase with the GeO2 concentration in the glasses. The vibrational modes of both bridging (Ge–S–Ge) and non-bridging (Ge–S) sulfurs are observed in Raman and IR spectra of binary Li2S + GeS2 glasses. Additions of GeO2 to this binary glass increase the bridging oxygen band (Ge–O–Ge) at the expense of decreasing the bridging sulfur band (Ge–S–Ge), whereas the bands associated with the non-bridging sulfurs (Ge–S) remain constant in intensity up to high GeO2 concentrations. At higher concentrations of GeO2 (⩾60%), the non-bridging oxygen band, which is not observed at low and intermediate GeO2 concentrations, appears and grows stronger. From these observations, it is suggested that the added lithium ions favor the non-bridging sulfur sites over the oxygen sites to form non-bridging sulfurs, whereas the added oxygen prefers the higher field strength Ge4+ cation to form bridging Ge–O–Ge bonds. The structural groups in the Li2S + GeS2 + GeO2 glasses that are consistent with results of Raman and IR spectra are described and are used to develop a structural model of these glasses.  相似文献   

19.
Main luminescence of α-quartz crystal doped with germanium results from the luminescence of a self-trapped exciton (STE) near germanium. In as grown Ge-doped α-quartz crystal, the luminescence associated with the twofold coordinated Ge center (GeODC) in amorphous silica glass doped with germanium, was never observed. In this work, we performed experiments to investigate if a GeODC like luminescence could appear after a γ-irradiation of a Ge-doped α-quartz crystal. The answer is positive: under excitation with pulsed light of an ArF laser (193 nm): a new luminescence with two bands — a blue one associated to a time constant of about 100 μs appears and another one with faster decay of ~ 1.5 ns appears in the ultraviolet part of the spectrum under the same excitation. This last emission has similar characteristics as the GeODC luminescence of silica glass. However, clear differences exist between the radiation-induced center associated with this luminescence and the GeODC. The excitation with a KrF laser does not provide emission with a decay time constant of about 100 μs but provides blue luminescence with a faster decay of about 4 μs. The pulses of the ArF laser also excite this component of decay for the blue band. We attribute this emission to various types of γ-ray created centers in radiation damaged areas of the Ge-doped crystal. Under excitation with an F2 excimer laser (157 nm), the luminescence of STE near Ge remains in the irradiated sample.  相似文献   

20.
《Journal of Non》2006,352(9-20):968-971
Microcrystalline silicon films are deposited at 165 °C by plasma enhanced chemical vapor deposition (PECVD) from silane, highly diluted in hydrogen–argon mixtures. Ar addition during the deposition allows to increase the crystallinity from 24% to 58% for 20 nm thick films. The final crystallinity for 350 nm thick films reaches 72% with an increase in the grain size. A further increase, still 80%, is provided by substrate pre-treatment using hydrogen plasma before the deposition process. Arsenic doped μc-Si films, deposited on previous optimized (5 W power and 1.33 mbar pressure) undoped films without stopping the plasma between the deposition of both layers, show high electrical conductivity up to 20 S cm−1.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号