首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
A detailed analysis of the effects of constant low current injection was done, both in accumulation (J=0.001-0.2 mA cm−2) and in inversion (J=0.001-0.04 mA/cm2). The samples under investigation were metal-insulator-silicon structures containing high-k dielectric Ta2O5 radio frequency sputtered on p-type Si wafers, with Pt metal gate electrodes. The obtained results were compared with the ones obtained for Al gate samples. This experiment confirms the occurrence of charge trapping in the case of high-work-function Pt as metal. The effect has been attributed to emitting of electrons into the Pt conduction band during which creation of empty traps in the dielectric occurs, which then attract electrons injected in the dielectric. In order to examine the reversibility of the process, successive short runs as well as long runs (up to 10000 s) were performed.  相似文献   

2.
Monoclinic zirconia (ZrO2) as a potential host for diluted magnetic semiconductors (DMS) was investigated using density functional theory (DFT). Our calculations assessed the feasibility of long-range magnetic order at room temperature for transition metal ions substituting Zr. The defect-related d states split into eg and t2g bands. The exchange mechanism was shown to have strong nearest-neighbour coupling for several dopants reaching up to 150 meV for Fe. However, there was little to no long-range coupling extending beyond nearest-neighbour coordination, resulting in a non-physically high-defect concentration necessary for room temperature ferromagnetism.  相似文献   

3.
We have applied the spectroscopic photoemission and low energy electron microscope to study high-k gate dielectrics and have performed the following in situ operations during ultrahigh vacuum annealing: real-time observation of surface morphology and microregion photoelectron spectroscopy measurements. Changes in surface morphology and electronic states were consistent with the models previously reported in the case of HfO2/Si. No clear differences between void regions and nonvoid regions have been observed in microregion photoelectron spectra for poly-Si/HfO2/Si, regardless of phase separation in real space. These results have suggested that the initial void formation occurs in about 100-nm wide regions for both HfO2/Si and poly-Si/HfO2/Si.  相似文献   

4.
Important progress has been made in the passivation of Ge/gate dielectric interfaces. One important approach is by thermally oxidized GeO2 interface and ALD high-k layers, with an interface state density Dit ∼ 2 × 1011 cm−2 eV−1. Another approach is with an epi-Si/SiO2 interface, resulting in similar Dit. Hysteresis and Vth shift, however, are still not optimal. Extensive material characterization and theoretical insights help us understanding the root cause of these remaining issues and show the way to improved interface control.  相似文献   

5.
Annealing-temperature dependence of the thermal stability and chemical bonding states of AlOxNy/SiO2/Si gate stacks grown by metalorganic chemical vapor deposition (MOCVD) using new chemistry was investigated by synchrotron radiation photoemission spectroscopy (SRPES). Results have confirmed the formation of the AlN and AlNO compounds in the as-deposited samples. Annealing the AlOxNy samples in N2 ambient in 600-800 °C promotes the formation of SiO2 component. Meanwhile, there is no formation of Al-O-Si and Al-Si binding states, suggesting no interdiffusion of Al with the Si substrate. A thermally induced reaction between Si and AlOxNy to form volatile SiO and Al2O is suggested to be responsible for the full disappearance of the Al component that accompanies annealing at annealing temperature of 1000 °C. The released N due to the breakage of the Al-N bonding will react with the SiO2 interfacial layer and lead to the formation of the Si3-N-O/Si2-N-O components at the top of Si substrate. These results indicate high temperature processing induced evolution of the interfacial chemistry and application range of AlOxNy/Si gate stacks in future CMOS devices.  相似文献   

6.
In this article, the authors developed a high-k HoTiO3 gate dielectric deposited on Si (1 0 0) through reactive cosputtering. They found that the HoTiO3 dielectrics annealed at 800 °C exhibited excellent electrical properties such as high capacitance value, small density of interface state, almost no hysteresis voltage, and low leakage current. This phenomenon is attributed to the decrease in intrinsic defect (related to oxygen vacancy) due to a rather well-crystallized HoTiO3 structure and composition observed by X-ray diffraction, secondary ion mass spectrometry, and X-ray photoelectron spectroscopy, respectively.  相似文献   

7.
A new ternary rare oxide dielectric LaYbO3 film had been prepared on silicon wafers and quartz substrates by reactive sputtering method using a La-Yb metal target. A range of analysis techniques was performed to determine the optical band gap, thermal stability, and electrical property of the deposited samples. It was found the band gap of LaYbO3 film was about 5.8 eV. And the crystallization temperature for rapid thermal annealing (20 s) was between 900 and 950 °C. X-ray photoelectron spectroscopy results indicate the formation of the SiO2 and silicate in the interface between silicon wafer and LaYbO3 film. The dielectric constant is about 23 from the calculation of capacitance-voltage curve, which is comparable higher than previously reported La2O3 or Yb2O3 film.  相似文献   

8.
Energy state and coordination of fluorine (F)-passivated Ge surface have been theoretically analyzed by semi-empirical molecular orbital method in comparison with hydrogen-passivated Ge surface to predict usefulness of F for passivation element and surface stabilization. Heat of formation for the reaction of F atoms and Ge layer system decreased simultaneously without energy barrier. Resultantly, F-Ge bonds were formed on Ge layer system and Ge surface dangling bonds were passivated by F dissimilar to the reaction of H atoms and Ge layer system. Furthermore, it was confirmed experimentally that the electrical properties of HfO2/Ge gate stack were improved by F2-ambient treatment of Ge substrate prior to HfO2 deposition. It is concluded that F-passivation of Ge surface is useful in making stable and low-defective Ge substrate for high-k dielectric layer deposition.  相似文献   

9.
Hf-doped Ta2O5 thin films are studied with respect to their composition, dielectric and electrical properties. The incorporation of Hf is performed by sputtering of a 0.7 nm thick Hf layer on top of Ta2O5 and subsequent annealing to stimulate diffusion of Hf into Ta2O5 and their intermixing. The elemental in-depth distribution of the films is investigated by the time of flight secondary ion mass spectroscopy (ToF-SIMS), which has revealed that Hf and Ta2O5 are intermixed throughout the whole thickness. Two sub-layers exist in all the samples - an upper homogeneous Hf-doped Ta2O5 sub-layer and a near interfacial region which is a mixture of Ta- and Si-oxides. The X-ray reflectivity (XRR) analysis shows existence of interfacial layer with a thickness of about 1.9-2 nm, irrespectively of the total thickness of the stacks. Metal-oxide-Si structures with Ru and RuO2 metal electrodes have been prepared and investigated in terms of dielectric constant, effective work function (EWF) and interfacial layer parameters. The influence of post-metallization annealing steps on these parameters was also studied.  相似文献   

10.
In this work, we report on two properties of the oxidation of tantalum silicide (Ta2Si) on SiC substrates making this material of interest as insulator for many wide bandgap or compound semiconductors. The relatively high oxidation rate of tantalum silicide to form high-k insulator layers and its ability for being oxidized in diluted N2O ambient in a manner similar to the oxidation in O2 are investigated. Metal-insulator-semiconductor capacitors have been used to establish the actual applicability and constrain of the high-k insulator depending on the oxidation conditions. At 1050 °C, the reduction of the oxidation time from 1 h to 5 min affects primordially the SiOx interfacial layer formed between the bulk insulator and the substrate. This interfacial layer strongly influences the metal-insulator-semiconductor performances of the oxidized Ta2Si layer. The bulk insulator basically remains unaffected although some structural differences arise when the oxidation is performed in N2O.  相似文献   

11.
Wear-out of Al-Ta2O5/SiO2-Si stacked layers under dynamic current stresses was studied. It was found that a detrapping of negative charges occurs between the pulses, similarly to SiO2 and SiOxNy films. Additional consumption of the SiO2 interfacial layer results in a decrease of the gate voltage in some stages of the stress, depending upon the stress time and current density.  相似文献   

12.
High-k ytterbium oxide (Yb2O3) gate dielectrics were deposited on Si substrate by reactive sputtering. The structural features of these films after postdeposition annealing treatment were studied by X-ray diffraction and X-ray photoelectron spectroscopy. It is found that the Yb2O3 gate dielectrics annealed at 700 °C exhibit a larger capacitance value, a lower frequency dispersion and a smaller hysteresis voltage in C-V curves compared with other annealing temperatures. They also show negligible charge trapping under high constant voltage stress. This phenomenon is mainly attributed to the decrease in the amorphous silica thickness.  相似文献   

13.
High-k HfOxNy thin films with different nitrogen-incorporation content have been fabricated on Si (1 0 0) substrate by means of radio-frequency reactive sputtering method. Analyses from X-ray diffraction (XRD) and atomic force microscopic have indicated that the increase of the crystallization temperature of HfO2 thin films and the decrease of the roughness root-mean-square value of HfO2 thin films due to the incorporation of nitrogen. Based on a parameterized Tauc-Lorentz (TL) dispersion model, the optical properties of the HfOxNy thin films related to different nitrogen-incorporation content are systematically investigated by spectroscopic ellipsometer. Increase in the refractive index and the extinction coefficient and reduction in band gap with increase of nitrogen-incorporation content are discussed in detail.  相似文献   

14.
Using real-time, dynamic reflectance anisotropy spectroscopy (RAS) at both 2.6 eV and 4.0 eV, we demonstrate that an anisotropic oxide will form on As rich c(4 × 4)/d(4 × 4) GaAs surfaces when exposed to moisture- free air diluted in inert gases in a metal organic chemical vapour deposition (MOCVD) reactor, and that the initial c(4 × 4)/d(4 × 4) structure effects the resulting optical anisotropy of the oxide. This was achieved by investigating how the RA signals at 2.6 eV and 4 eV of annealed GaAs (1 0 0) surfaces evolve relative to the as-etched and as-annealed signals when exposed to oxygen. It is found that while the 2.6 eV response, which is known to be associated with the As dimers, degrades to pre-process levels indicating their destruction, the 4 eV signal, stabilizes at an intermediate, permanent level, suggesting the formation of an anisotropic oxide film whose structure is determined at least in part, by the initial c(4 × 4)/d(4 × 4) surface.  相似文献   

15.
Angle resolved XPS (ARXPS) is a powerful tool for the determination of the thickness of ultra-thin films. In the case of high-k dielectric layers, the technique is capable of measuring the thickness of both the high-k layer and intermediate layers of silicon dioxide or metal silicate. The values for layer thickness are in close agreement with those generated by a variety of other techniques. As well as knowing the thickness of these layers, it is important to determine whether the layers are continuous or whether the coverage of the high-k layer is only partial. Using ARXPS, a method has been developed to determine whether the coverage of the high-k material is continuous and, if not, to calculate the fraction of the surface that is covered. The method is described with reference to the layers of Al2O3 grown on SiO2 using atomic layer deposition (ALD). The method is then applied to HfO2 layers produced using ALD on silicon wafers whose surfaces had received three different types of surface treatment. The way in which the layers grow and the nature of the resulting layer were found to depend upon the pre-treatment method. For example, growth on a thermal silicon dioxide surface resulted in complete coverage of HfO2 after fewer ALD cycles than layers grown on an H-terminated surface. The results from ARXPS are compared with those obtained from ToF SIMS that have been shown earlier to be a valuable alternative to the LEIS analysis [1].  相似文献   

16.
The dielectric properties of MgO-Ta2O5 continuous composition spread (CCS) thin films were investigated. The MgO-Ta2O5 CCS thin films were deposited on Pt/Ti/SiO2/Si substrates by off-Axis RF magnetron sputtering system, and then the films were annealed at 350 °C with rapid thermal annealing system in vacuum. The dielectric constant and loss of MgO-Ta2O5 CCS thin films were plotted via 1500 micron-step measuring. The specific point of Ta2O5-MgO CCS thin film (post annealed at 350 °C) showing superior dielectric properties of high dielectric constant (k ∼ 28) and low dielectric loss (tan δ < 0⋅004) at 1 MHz were found in the area of 3-5 mm apart from Ta2O5 side on the substrate. The cation's composition of thin film was Mg:Ta = 0.4:2 at%.  相似文献   

17.
High-k gate dielectric HfO2 thin films have been deposited on Si(1 0 0) by using plasma oxidation of sputtered metallic Hf thin films. The optical and electrical properties in relation to postdeposition annealing temperatures are investigated by spectroscopic ellipsometry (SE) and capacitance-voltage (C-V) characteristics in detail. X-ray diffraction (XRD) measurement shows that the as-deposited HfO2 films are basically amorphous. Based on a parameterized Tauc-Lorentz dispersion mode, excellent agreement has been found between the experimental and the simulated spectra, and the optical constants of the as-deposited and annealed films related to the annealing temperature are systematically extracted. Increases in the refractive index n and extinction coefficient k, with increasing annealing temperature are observed due to the formation of more closely packed thin films and the enhancement of scattering effect in the targeted HfO2 film. Change of the complex dielectric function and reduction of optical band gap with an increase in annealing temperature are discussed. The extracted direct band gap related to the structure varies from 5.77, 5.65, and 5.56 eV for the as-deposited and annealed thin films at 700 and 800 °C, respectively. It has been found from the C-V measurement the decrease of accumulation capacitance values upon annealing, which can be contributed to the growth of the interfacial layer with lower dielectric constant upon postannealing. The flat-band voltage shifts negatively due to positive charge generated during postannealing.  相似文献   

18.
A new technique is proposed to generate the k-interval parameters, including the number of k-intervals, the equivalent absorption coefficients, and the quadrature weights when using the correlated k-distribution method for the computation of spectrally integrated three-dimensional (3D) atmospheric radiance. The main difference between the proposed technique and the traditional exponential sum fitting technique is that only quadrature weights are involved in the optimization process. To avoid the ill-conditioned problem in the proposed technique, the absorption coefficients with high value are dealt with by the delta log(k) (Δlog(k)) technique instead of involving them in the fitting procedure. The performance of the proposed technique is illustrated by radiance calculation results of cloudless and cloudy atmosphere for three different band settings. Results show that there are less relative errors with the proposed optimization technique than with the Δlog(k) technique under the same number of k-intervals. However, as the absorption becomes stronger, the performance of the proposed technique gradually decreases to the Δlog(k) technique. The relative root-mean-square error (RMSE) of radiance for 3D cloudy atmosphere can be controlled in less than 2% when the number of k-intervals is less than 10 particularly for weak absorption band, the RMSEs are less than 1% with only 6 terms.  相似文献   

19.
We proposed models for controlling surface reactions during etching of SiOCH and organic material. The etch rate of each material can be determined by the balance between the total atom fluxes of O, C, F, N, and H that were supplied from both the plasma and the etched material to the reactive layer. Low-k films (SiOCH, porous SiOCH, and organic material) have narrow process windows for obtaining good etching properties, such as selectivity, because the polymer and reactive layers on these films can be changed by only slight changes in the plasma parameters. Therefore, the partial pressure and dissociation of parent gas molecules in fluorocarbon or N-H plasma as well as plasma-wall interaction must be controlled. To create highly reliable interconnects, the interfaces between the metal and low-k must be optimized during the etching of stopper material and ashing. The surface of Cu is very reactive, the remaining F induces degradation of Cu. SiOCH can easily be oxidized during ashing processes, and the adsorption of H2O on damaged SiOCH causes interconnect failure during electrical tests. To suppress problems in the etching and ashing processes, the balance of the total atom fluxes should be quantitatively and instantaneously controlled to the optimum point for each material.  相似文献   

20.
Large scale ab initio molecular dynamics simulations were performed to investigate how Cu/ultra low-k systems are improved when N is incorporated into the pore-sealing layers. It was found that the high affinity of N to Ta and H gives rise to new phases that prevent H atoms from penetrating the Ta diffusion barrier layer. Consequently, the Ta layer forms organized structures with good barrier performance and electrical conductivity. Furthermore, a continuous ductile film is formed to seal the highly porous polymer dielectrics. Interfacial adhesion between the pore-sealing layer and the dielectrics is also enhanced by inter-diffusion.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号