首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Photoluminescence spectroscopy, Fourier transform infrared spectroscopy, X-ray reflectometry and high resolution electron microscopy have been used to interpret the photoluminescence properties of annealed (3/19 nm) Si/SiO2 multilayers grown by reactive magnetron sputtering. The multilayers show an emission in the visible and near-infrared range after heat treatment from 900°C which tends to decrease from 1200°C. Three different origins for the photoluminescence activity have been found. An anneal temperature of 1200°C is necessary to optimise the silicon crystallisation within the silicon sublayers.  相似文献   

2.
Injected carriers from the contacts to delocalized bulk states of the oxide matrix via Fowler–Nordheim tunneling can give rise to quantum-confined impact ionization (QCII) of the nanocrystal (NC) valence electrons. This process is responsible for the creation of confined excitons in NCs, which is a key luminescence mechanism. For a realistic modeling of QCII in Si NCs, a number of tools are combined: ensemble Monte Carlo (EMC) charge transport, ab initio modeling for oxide matrix, pseudopotential NC electronic states together with the closed-form analytical expression for the Coulomb matrix element of the QCII. To characterize the transport properties of the embedding amorphous SiO2, ab initio band structure and density of states of the α-quartz phase of SiO2 are employed. The confined states of the Si NC are obtained by solving the atomistic pseudopotential Hamiltonian. With these ingredients, realistic modeling of the QCII process involving a SiO2 bulk state hot carrier and the NC valence electrons is provided.  相似文献   

3.
We study theoretically the optical properties of embedded Ge and Si nanocrystals (NCs) in wide band-gap matrix and compared the obtained results for both NCs embedded in SiO2 matrix. We calculate the ground and excited electron and hole levels in both Ge and Si nanocrystals (quantum dots) in a multiband effective mass approximation. We use the envelope function approximation taking into account the elliptic symmetry of the bottom of the conduction band and the complex structure of the top of the valence band in both Si and Ge (NCs). The Auger recombination (AR) in both nanocrystals is thoroughly investigated. The excited electron (EE), excited hole (EH) and biexciton AR types are considered. The Auger recombination (AR) lifetime in both NCs has been estimated and compared.  相似文献   

4.
We present photoluminescence and electroluminescence of silicon nanocrystals deposited by plasma-enhanced chemical vapor deposition (PECVD) using nanocrystalline silicon/silicon dioxide (nc-Si/SiO2) superlattice approach. This approach allows us to tune the nanocrystal emission wavelength by varying the thickness of the Si layers. We fabricate light emitting devices (LEDs) with transparent indium tin oxide (ITO) contacts using these superlattice materials. The current-voltage characteristics of the LEDs are measured and compared to Frenkel-Poole and Fowler-Nordheim models for conduction. The EL properties of the superlattice material are studied, and tuning, similar to that of the PL spectra, is shown for the EL spectra. Finally, we observe the output power and calculate the quantum efficiency and power conversion efficiency for each of the devices.  相似文献   

5.
吴志永  刘克新  任晓堂 《中国物理 B》2010,19(9):97806-097806
Photoluminescence (PL) spectra of Si nanocrystals (NCs) prepared by 130 keV Si ions implantation onto SiO2 matrix were investigated as a function of annealing temperature and implanted ion dose. PL spectra consist of two PL peaks, originated from smaller Si NCs due to quantum confinement effect (QCE) and the interface states located at the surface of larger Si NCs. The evolution of number of dangling bonds (DBs) on Si NCs was also investigated. For hydrogen-passivated samples, a monotonic increase in PL peak intensity with the dose of implanted Si ions up to 3×1017 ions /cm2 is observed. The number of DBs on individual Si NC, the interaction between DBs at the surface of neighbouring Si NCs and their effects on the efficiency of PL are discussed.  相似文献   

6.
Structural and optical properties of Si/SiO2 multi-quantum wells (MQW) were investigated by means of Raman scattering and photoluminescence (PL) spectroscopy. The MQW structures were fabricated on a quartz substrate by remote plasma enhanced chemical vapour deposition (RPECVD) of alternating amorphous Si and SiO2 layers. After layer deposition the samples were subjected to heat treatments, i.e. rapid thermal annealing (RTA) and furnace annealing. Distinct PL signatures of confined carriers evidenced formation of Si-nanocrystals (nc-Si) in annealed samples. Analyses of Raman spectra also show presence of nc-Si phase along with amorphous-Si (a-Si) phase in the samples. The strong influence of the annealing parameters on the formation of nc-Si phase suggests broad possibilities in engineering MQW with various optical properties. Interestingly, conversion of the a-Si phase to the nc-Si phase saturates after certain time of furnace annealing. On the other hand, thinner Si layers showed a disproportionately lower crystalline volume fraction. From the obtained results we could assume that an interface strain prevents full crystallization of the Si layers and that the strain is larger for thinner Si layers. The anomalous dependence of nc-Si Raman scattering peak position on deposited layer thickness observed in our experiments also supports the above assumption.  相似文献   

7.
Si nanograins embedded in silica matrix were obtained by magnetron cosputtering of both Si and SiO2 at different substrate temperature (200–700°C) and thermal annealing at 1100°C. The samples were characterized by ellipsometric spectroscopy, high-resolution electron microscopy observations and photoluminescence. The highest excess of Si atoms was found to be incorporated for deposition temperature near 400–500°C, giving rise to a maximum PL and a shift of the peak position towards lower energy. These features might be interpreted in terms of quantum size effects and of density of grains, even though the interface states seem to be involved in the improvement of the photoluminescence efficiency.  相似文献   

8.
Bright quantum confined luminescence due to band-to-band recombination can be obtained from Si/SiO2 superlattices. Placing them in a one-dimensional optical microcavity results in a pronounced modulation of the photoluminescence (PL) intensity with emission wavelength, as a consequence of the standing wave set up between the substrate and top interfaces. For a Si substrate, absorption of light reduces the PL efficiency, but for an Al-coated glass substrate the PL intensity is twice that of a quartz substrate case. The addition of a broad-band high reflector to the superlattice surface results in enhanced narrow-band emission. These results show that a suitably designed planar microcavity can not only considerably increase the external efficiency of luminescence in Si/SiO2 superlattices but can also be used to decrease the bandwidth and selectively tune the peak wavelength.  相似文献   

9.
In this contribution we present a new type of optoelectronic silicon nanocrystal (Si-nc) based material, namely, Si-nc embedded into solidified pure or doped spin-on-glasses. The resulting self-supporting samples contain thin layers with high Si-nc concentrations. The visible photoluminescence (PL) maximum at room temperature is blue-shifted when the concentration of phosphorus in the spin-on-glass is increased.  相似文献   

10.
11.
Optical properties of Si-rich SiO2 films prepared by an RF cosputtering method are discussed. From the infrared and Raman spectroscopy together with the electron microscopy, it is shown that Si mesoscopic particles embedded in solid matrices with the sizes ranging from ˜ 10 nm (nanocrystals) to less than ˜1 nm (clusters) can be obtained by the cosputtering and post-annealing. The absorption and photoluminescence spectra are presented. For our samples, a red luminescence peak analogous to that of porous Si is observed for films containing Si clusters rather than nanocrystals. Raman spectra which evidence the success in the heavy doping of B atoms into Si nanocrystals are also discussed.  相似文献   

12.
We construct the theory of carriers confined in Si quantum dots with finite energy barriers for electrons and holes in the framework of the multiband effective mass theory. We apply this theory for theoretical modeling of the excitation of erbium inside and outside of Si nanocrystals in SiO2 matrix due to the Auger process induced by the recombination of a confined electron-hole pair as well as the intraband transitions of “hot” confined carriers. Auger de-excitation processes of the Er3+ ion leading to the quenching of erbium luminescence are discussed as well.  相似文献   

13.
Ge/SiO_2 and Si/SiO_2 films were deposited using the two-target alternation magnetron sputtering technique. The Au/Ge/SiO_2/p-Si and Au/Si/SiO_2/p-Si structures were fabricated and their electroluminescence (EL) characteristics were comparatively studied. Both Au/Ge/SiO_2/p-Si and Au/Si/SiO_2/p-Si structures have rectifying property. All the EL spectra from the two types of the structure have peak positions around 650-660 nm. The EL mechanisms of the structures are discussed.  相似文献   

14.
In this work, anodic porous alumina thin films with pores in the nanometer range are grown on silicon by electrochemistry and are used as masking material for the nanopatterning of the silicon substrate. The pore diameter and density are controlled by the electrochemical process. Through the pores of the alumina film chemical oxidation of the silicon substrate is performed, leading to the formation of regular arrays of well-separated stoichiometric silicon dioxide nanodots on silicon, with a density following the alumina pores density and a diameter adjustable by adjusting the chemical oxidation time. The alumina film is dissolved chemically after the SiO2 nanodots growth, revealing the arrays of silicon dioxide dots on silicon. In a next step, the nanodots are also removed, leaving a nanopatterned bare silicon surface with regular arrays of nanopits at the footprint of each nanodot. This silicon surface structuring finds interesting applications in nanoelectronics. One such application is in silicon nanocrystals memories, where the structuring of the oxidized silicon surface leads to the growth of discrete silicon nanocrystals of uniform size. In this work, we examine the electrical quality of the Si/SiO2 interface of a nanostructured oxidized silicon surface fabricated as above and we find that it is appropriate for electronic applications (an interface trap density below 1–3×1010 eV−1 cm−2 is obtained, indicative of the high quality of the thermal silicon oxide).  相似文献   

15.
We report on continuous-wave laser induced crystallisation processes occurring in Si/SiO2 multiple quantum wells (MQW), prepared by remote plasma enhanced chemical vapour deposition of amorphous Si and SiO2 layers on quartz substrates. The size and the volume fraction of the Si nanocrystals in the layers were estimated employing micro-Raman spectroscopy. It was found that several processes occur in the Si/SiO2 MQW system upon laser treatment, i.e. amorphous to nanocrystalline conversion, Si oxidation and dissolution of the nanocrystals. The speed of these processes depends on laser power density and the wavelength, as well as on the thickness of Si-rich layers. At optimal laser annealing conditions, it was possible to achieve ∼100% crystallinity for 3, 5 and 10 nm thickness of deposited amorphous Si layers. Crystallization induced variation of the light absorption in the layers can explain the complicated process of Si nanocrystals formation during the laser treatment.  相似文献   

16.
Incommensurate modulations in Ba2TiSi2O8, Sr2TiSi2O8, and Ba2TiGe2O8 are compared based on their corresponding electron diffraction patterns. The dependence of the modulations on chemical composition provides a suitable model system for the investigation of incommensurations in framework structures using high-resolution transmission electron microscopy (HRTEM). A widening of quantitative HRTEM utilising the concept of rigid units is proposed allowing for a determination of atomistic displacements responsible for the formation of incommensurately modulated structures.  相似文献   

17.
In this report we present grazing incidence X-ray reflectivity (GIXR) study of SiO2/Si(0 0 1) system. We have analysed the X-ray reflectivity data using recursive formalism based on matrix method and distorted wave Born approximation (DWBA). From the analysis of the reflectivity data we could obtain the electron density profile (EDP) at the interface of the dielectric SiO2 film and the Si(0 0 1) substrate. The EDP obtained from the matrix method follows the DWBA scheme only when two transition layers are considered at the interface of SiO2/Si. The layer which is in proximity with the Si substrate has a higher electron density value than the Si and SiO2 values and it appears as a maximum in the EDP. The layer which is in proximity with the dielectric SiO2 layer has an electron density value lower than the SiO2 value and it appears as a minimum in the EDP. When the thickness of the SiO2 layer is increased the lower density layer diminishes and the higher density layer persists.  相似文献   

18.
马书懿  萧勇  陈辉 《中国物理》2002,11(9):960-962
The structure of Au/Si/SiO2/p-Si has been fabricated using the magnetron sputtering technique. It has a very good rectifying behaviour. Visible electroluminescence (EL) has been observed from the Au/Si/SiO2/p-Si structure at a forward bias of 5V or larger. A broad band with one peak around 650-660 nm appears in all the EL spectra of the structure. The effects of the thickness of the Si layer in the Si/SiO2 films and of the input electrical power on EL spectra are studied systematically.  相似文献   

19.
康朝阳  唐军  李利民  闫文盛  徐彭寿  韦世强 《物理学报》2012,61(3):37302-037302
在分子束外延(MBE)设备中,利用直接沉积C原子的方法在覆盖有SiO2的Si衬底(SiO2/Si)上生长石墨烯,并通过Raman光谱和近边X射线吸收精细结构谱等实验技术对不同衬底温度(500℃,600℃,700℃,900℃,1100℃,1200℃)生长的薄膜进行结构表征.实验结果表明,在衬底温度较低时生长的薄膜是无定形碳,在衬底温度高于700℃时薄膜具有石墨烯的特征,而且石墨烯的结晶质量随着衬底温度的升高而改善,但过高的衬底温度会使石墨烯质量降低.衬底温度为1100℃时结晶质量最好.衬底温度较低时C原子活性较低,难以形成有序的C-sp2六方环.而衬底温度过高时(1200℃),衬底表面部分SiO2分解,C原子与表面的Si原子或者O原子结合而阻止石墨烯的形成,并产生表面缺陷导致石墨烯结晶变差.  相似文献   

20.
This paper reports that the Ge nanocrystals embedded in SiO2 matrix are grown on Si(100) and quartz-glass substrates, and the formation mechanism is systematically studied by using fluorescence x-ray absorption fine structure (XAFS). It is found that the formation of Ge nanocrystals strongly depends on the properties of substrate materials. In the as-prepared samples with Ge molar content of 60%, Ge atoms exist in amorphous Ge (about 36%) and GeO2 (about 24%) phases. At the annealing temperature of 1073 K, on the quartz-glass substrate Ge nanocrystals are generated from crystallization of amorphous Ge, rather than from the direct decomposition of GeO2 in the as-deposited sample. However, on the Si(100) substrate, the Ge nanocrystals are generated partly from crystallization of amorphous Ge, and partly from GeO2 phases through the permutation reaction with Si substrate. Quantitative analysis reveals that about 10% of GeO2 in the as-prepared sample are permuted with Si wafer to form Ge nanocrystals.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号