首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
This paper reports a study of reactive ion etching (RIE) of n-ZnO in H2/CH4 and H2/CH4/Ar gas mixtures. Variables in the experiment were gas flow ratios, radio-frequency (rf) plasma power, and total pressure. Structural and electrical parameters of the etched surfaces and films were determined. Both the highest surface roughness and highest etching rate of ZnO films were obtained with a maximum rf power of 300 W, but at different gas flow ratios and working pressures. These results were expected because increasing the rf power increased the bond-breaking efficiency of ZnO. The highest degree of surface roughness was a result of pure physical etching by H2 gas without mixed CH4 gas. The highest etching rate was obtained from physical etching of H2/Ar species associated with chemical reaction of CH4 species. Additionally, the H2/CH4/Ar plasma treatment drastically decreased the specific contact and sheet resistance of the ZnO films. These results indicated that etching the ZnO film had roughened the surface and reduced its resistivity to ohmic contact, supporting the application of a roughened transparent contact layer (TCL) in light-emitting diodes (LEDs).  相似文献   

2.
CH4/H2-based discharges are attractive for dry etching of single crystal ZnO because of their non-corrosive nature. We show that substitution of C2H6 for CH4 increases the ZnO etch rate by approximately a factor of 2 both with and without any inert gas additive. The C2H6/H2/Ar mixture provides a strong enhancement over pure Ar sputtering, in sharp contrast to the case of CH4/H2/Ar. The threshold ion energy for initiating etching is 42.4 eV for C2H6/H2/Ar and 59.8 eV for CH4/H2/Ar. The etched surface morphologies were smooth, independent of the chemistry and the Zn/O ratio in the near-surface region was unchanged within experimental error after etching with both chemistries. The plasma etching improved the band-edge photoluminescence intensity and suppressed the deep level emission from the bulk ZnO under our conditions, due possibly to removal of surface contamination layer.  相似文献   

3.
MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc2O3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH4/H2/Ar produced etch rates only in the range 20-70 Å/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 Å/min) were obtained with Cl2/Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH4/H2/Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN.  相似文献   

4.
The effect of inert gas additive (He, Ar, Xe) to CH4/H2 discharges for dry etching of single crystal ZnO was examined. The etch rates were higher with Ar or Xe addition, compared to He but in all cases the CH4/H2-based mixtures showed little or no enhancement over pure physical sputtering under the same conditions. The etched surface morphologies were smooth, independent of the inert gas additive species and the Zn/O ratio in the near-surface region decreases as the mass number of the additive species increases, suggesting preferential sputtering of O. The plasma etching improved the band-edge photoluminescence intensity from the ZnO for the range of ion energies used here (290-355 eV), due possibly to removal of surface contamination layer.  相似文献   

5.
Highly conducting films of p-type CuCrO2 are attractive as hole-injectors in oxide-based light emitters. In this paper, we report on the development of dry etch patterning of CuCrO2 thin films. The only plasma chemistry that provided some chemical enhancement was Cl2/Ar under inductively coupled plasma conditions. Etch rates of ∼500 Å min−1 were obtained at chuck voltages around −300 V and moderate source powers. In all cases, the etched surface morphologies were improved relative to un-etched control samples due to the smoothing effect of the physical component of the etching. The threshold ion energy for the onset of etching was determined to be 34 eV. Very low concentrations (≤1 at.%) of residual chlorine were detected on the etched surfaces but could be removed by simple water rinsing.  相似文献   

6.
In this study, we investigated the surface properties of diamond-like carbon (DLC) films for biomedical applications through plasma etching treatment using oxygen (O2) and hydrogen (H2) gas. The synthesis and post-plasma etching treatment of DLC films were carried out by 13.56 MHz RF plasma enhanced chemical vapor deposition (PECVD) system. In order to characterize the surface of DLC films, they were etched to a thickness of approximately 100 nm and were compared with an as-deposited DLC film. We obtained the optimum condition through power variation, at which the etching rate by H2 and O2 was 30 and 80 nm/min, respectively. The structural and chemical properties of these thin films after the plasma etching treatment were evaluated by Raman and Fourier transform infrared (FT-IR) spectroscopy. In the case of as-deposited and H2 plasma etching-treated DLC film, the contact angle was 86.4° and 83.7°, respectively, whereas it was reduced to 35.5° in the etching-treated DLC film in O2 plasma. The surface roughness of plasma etching-treated DLC with H2 or O2 was maintained smooth at 0.1 nm. These results indicated that the surface of the etching-treated DLC film in O2 plasma was hydrophilic as well as smooth.  相似文献   

7.
The dry etching characteristics of bulk, single-crystal zinc-oxide (ZnO) and rf-sputtered indium-zinc-oxide (IZO) films have been investigated using an inductively coupled high-density plasma with different plasma chemistries. The introduction of interhalogens such as ICl, IBr, BI3, and BBr3 to the Ar plasma produced no enhancement of the ZnO and IZO etch rates with respect to physical sputtering in a pure argon atmosphere under the same experimental conditions. In these plasma chemistries, the etch rate of both materials increased with source power and ion energy, indicating that ion bombardment plays an important role in enhancing desorption of etch products. Except in Ar/CH4/H2 discharges, the ZnO etch rate was very similar to that of IZO, which indicates that zinc and indium atoms are driven by a similar plasma etching dynamic. CH4/H2-containing plasmas produced higher etch rates for IZO than for ZnO due to the preferential desorption of the group III etch products. Application of the CH4/H2/Ar plasma to the etching of deep features in bulk, single-crystal ZnO produced highly anisotropic profiles although some trenches were observed near the sidewalls.  相似文献   

8.
Hydrogenated microcrystalline silicon films were deposited by glow discharge decomposition of SiH4 diluted in mixed gas of Ar and H2. By investigating the dependence of the film crystallinity on the flow rates of Ar and H2, we showed that the addition of Ar in diluted gas markedly improves the crystallinity due to an enhanced dissociation of SiH4. The infrared-absorption spectrum reveals that the fraction of SiH bonding increases with increasing the rate ratio of H2/(H2 + Ar). The surface roughness of the films increases with increasing the flow rate ratio of H2/(H2 + Ar), which is attributed to the decrease of massive bombardment of Ar ions in the plasma. Refractive index and absorption coefficient of the films were obtained by simulating the optical transmission spectra using a modified envelope method. Electrical measurements of the films show that the dark conductivity increases and the activation energy decreases with the ratio of H2/(H2 + Ar). A reasonable explanation is presented for the dependence of the microstructure and optoelectronic properties on the flow rate ratio of H2/(H2 + Ar).  相似文献   

9.
Ferroelectric barium strontium titanate (Ba0.7Sr0.3TiO3)(BST) thin films have been prepared from barium 2-ethylhexanoate [Ba[CH3(CH2)3CH(C2H5)CO2]2], strontium 2-ethylhexanoate [Sr[CH3(CH2)3CH(C2H5)CO2]2] and titanium(IV) isopropoxide [TiOCH(CH3)2]4 precursors using a modified sol-gel technique. The precursor except [TiOCH(CH3)2]4 were synthesized in the laboratory. Transparent and crack-free films were fabricated on pre-cleaned quartz substrates by spin coating. The structural and optical properties of films annealed at different temperatures have been investigated. The as-fired films were found to be amorphous that crystallized to the tetragonal phase after annealing at 550 °C for 1 h in air. The lattice constants “a” and “c” were found to be 3.974 A and 3.990 A, respectively. The grain sizes of the films annealed at 450, 500 and 550 °C were found to be 30.8, 36.0 and 39.8 nm respectively. The amorphous film showed very high transparency (∼95%), which decreases slightly after crystallization (∼90%). The band gap and refractive index of the amorphous and crystalline films were estimated. The optical dispersion data are also analyzed in the light of the single oscillator model and are discussed.  相似文献   

10.
The present paper investigates the surface roughness generated by reactive ion etching (RIE) on the location between silicon dioxide (SiO2) micro-pits structures. The micro-pit pattern on polymethyl methacrylate (PMMA) mask was created by an electron beam lithography tool. By using PMMA as a polymer resist mask layer for pattern transfer in RIE process, the carbon (C) content in etching process is increased, which leads to decrease of F/C ratio and causes domination of polymerization reactions. This leads to high surface roughness via self-organized nanostructure features generated on SiO2 surface which was analyzed using atomic force microscopy (AFM) technique. The etching chemistry of CHF3 plasma on PMMA masking layer and SiO2 is analyzed to explain the polymerization. The surface root-mean-square (RMS) roughness below 1 nm was achieved by decreasing the RF power to 150 W and process pressure lower than 10 mTorr.  相似文献   

11.
The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H2 mixtures and at constant bias voltage (−100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH4/H2 mixtures, the etch rate goes through a maximum for 10% CH4 indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH4/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH4 in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.  相似文献   

12.
SnO2/TiO2 mixed oxides with primary particle size ranging between 5 nm dp 12 nm were synthesized by doping a H2/O2/Ar flame with Sn(CH3)4 and Ti(OC3H7)4 co-currently. The effects of “flow coordinate,” concentration and flame configurations were investigated with respect to particle size and morphology of the generated mixed oxides. In situ characterization of the mixed oxides was performed using the particle mass spectrometer (PMS), while XRD, TEM, BET and UV–Vis were performed ex situ. Results obtained showed that primary particle size of mixed oxides can be controlled by varying experimental parameters. The mixed oxides have interesting properties compared to those of the pure oxides of TiO2 and SnO2, which were also synthesized in flames earlier. Band gap tuning opportunities are possible using mixed oxides.  相似文献   

13.
The long-term stability of pentacene thin-film transistors (TFTs) encapsulated with a transparent SnO2 thin-film prepared by ion beam-assisted deposition (IBAD) was investigated. After encapsulation process, our organic thin-film transistors (OTFTs) showed somewhat degraded field-effect mobility of 0.5 cm2/(V s) that was initially 0.62 cm2/(V s), when a buffer layer of thermally evaporated 100 nm SnO2 film had been deposited prior to IBAD process. However, the mobility was surprisingly sustained up to 1 month and then gradually degraded down to 0.35 cm2/(V s) which was still three times higher than that of the OTFT without any encapsulation layer after 100 days in air ambient. The encapsulated OTFTs also exhibited superior on/off current ratio of over 105 to that of the unprotected devices (∼104) which was reduced from ∼106 before aging. Therefore, the enhanced long-term stability of our encapsulated OTFTs should be attributed to well protection of permeation of H2O and O2 into the devices by the IBAD SnO2 thin-film which could be used as an effective inorganic gas barrier for transparent organic electronic devices.  相似文献   

14.
The dry etching characteristics of bulk single-crystal zinc-oxide (ZnO) and RF-sputtered indium-zinc-oxide (IZO) films have been investigated using an inductively coupled high-density plasma in Ar/IBr and Ar/BI3. In both plasma chemistries, the etch rate of ZnO is very similar to that of IZO, which indicates that zinc and indium atoms are driven by a similar plasma etching dynamics. IBr and BI3-based plasmas show no enhancement of the etch rate over pure physical sputtering under the same experimental conditions. The etched surface morphologies are smooth, independent of the discharge chemistry. From Auger electron spectroscopy, it is found that the near-surface stoichiometry is unchanged within experimental error, indicating a low degree of plasma-induced damage.  相似文献   

15.
SrAl2O4:Eu2+, Dy3+ thin films were grown on Si (1 0 0) substrates in different atmospheres using the pulsed laser deposition (PLD) technique. The effects of vacuum, oxygen (O2) and argon (Ar) deposition atmospheres on the structural, morphological and photoluminescence (PL) properties of the films were investigated. The films were ablated using a 248 nm KrF excimer laser. Improved PL intensities were obtained from the unannealed films prepared in Ar and O2 atmospheres compared to those prepared in vacuum. A stable green emission peak at 520 nm, attributed to 4f65d1→4f7 Eu2+ transitions was obtained. After annealing the films prepared in vacuum at 800 °C for 2 h, the intensity of the green emission (520 nm) of the thin film increased considerably. The amorphous thin film was crystalline after the annealing process. The diffusion of adventitious C into the nanostructured layers deposited in the Ar and O2 atmospheres was most probably responsible for the quenching of the PL intensity after annealing.  相似文献   

16.
The thermal evolution process of IrO2-SnO2/Ti mixed oxide thin films of varying noble metal content has been investigated under in situ conditions by thermogravimetry-mass spectrometry, Fourier transform infrared emission spectroscopy and cyclic voltammetry. The gel-like films prepared from aqueous solutions of the precursor salts Sn(OH)2(CH3COO)2−xClx and H2IrCl6 on titanium metal support were heated in an atmosphere containing 20% O2 and 80% Ar up to 600 °C.The thermal decomposition reactions practically take place in two separate temperature ranges from ambient to about 250 °C and between 300 and 600 °C. In the low temperature range the liberation of solution components and - to a limited extent - an oxidative cracking reaction of the acetate ligand takes place catalyzed by the noble metal. In the high temperature range the evolution of chlorine as well as the decomposition of surface species formed (carbonyls, carboxylates, carbonates) can be observed. The acetate ligand shows extreme high stability and is decomposed in the 400-550 °C range, only.Since the formation and decomposition of the organic surface species can significantly influence the morphology (and thus the electrochemical properties) of the films, the complete understanding of the film evolution process is indispensable to optimize the experimental conditions of electrode preparation.  相似文献   

17.
La-doped HfO2 gate dielectric thin films have been deposited on Si substrates using La(acac)3 and Hf(acac)4 (acac = 2,4-pentanedionate) mixing sources by low-pressure metal-organic chemical vapor deposition (MOCVD). The structure, thermal stability, and electrical properties of La-doped HfO2 films have been investigated. Inductive coupled plasma analyses confirm that the La content ranging from 1 to 5 mol% is involved in the films. The films show smaller roughness of ∼0.5 nm and improved thermal stability up to 750 °C. The La-doped HfO2 films on Pt-coated Si and fused quartz substrates have an intrinsic dielectric constant of ∼28 at 1 MHz and a band gap of 5.6 eV, respectively. X-ray photoelectron spectroscopy analyses reveal that the interfacial layer is Hf-based silicate. The reliable value of equivalent oxide thickness (EOT) around 1.2 nm has been obtained, but with a large leakage current density of 3 A/cm2 at Vg = 1V + Vfb. MOCVD-derived La-doped HfO2 is demonstrated to be a potential high-k gate dielectric film for next generation metal oxide semiconductor field effect transistor applications.  相似文献   

18.
Transparent and conducting TiO2/Au/TiO2 (TAuT) films were deposited by reactive magnetron sputtering on polycarbonate substrates to investigate the effect of the Au interlayer on the optical, electrical, and structural properties of the films. In TAuT films, the Au interlayer thickness was kept at 5 nm. Although total thickness was maintained at 100 nm, the stack structure was varied as 50/5/45, 70/5/25, and 90/5/5 nm.In XRD pattern, the intermediate Au films were crystallized, while all TAuT films did not show any diffraction peaks for TiO2 films with regardless of stack structure. The optical and electrical properties were dependent on the stack structure of the films. The lowest sheet resistance of 23 Ω/□ and highest optical transmittance of 76% at 550 nm were obtained from TiO2 90 nm/Au 5 nm/TiO2 5 nm films. The work function was dependent on the film stack. The highest work function (4.8 eV) was observed with the TiO2 90 nm/Au 5 nm/TiO2 5 nm film stack. The TAuT film stack of TiO2 90 nm/Au 5 nm/TiO2 5 nm films is an optimized stack that may be an alternative candidate for transparent electrodes in flat panel displays.  相似文献   

19.
20.
CuInSe2/In2O3 structures were formed by depositing CuInSe2 films by stepwise flash evaporation onto In2O3 films, which were grown by DC reactive sputtering of In target in presence of (Ar+O2) gas mixture. Phase purity of the CuInSe2 and In2O3 films was confirmed by Transmission Electron Microscopy (TEM) studies. X-ray diffraction (XRD) results on CuInSe2/In2O3/glass structures showed sharp peaks corresponding to (112) plane of CuInSe2 and (222) plane of In2O3. Rutherford Backscattering Spectrometry (RBS) investigations were carried out on CuInSe2/In2O3/Si structures in order to characterize the interface between In2O3 and CuInSe2. The results show that the CuInSe2 films were near stoichoimetric and In2O3 films had oxygen deficient composition. CuInSe2/In2O3 interface was found to include a ∼20 nm thick region consisting of copper, indium and oxygen. Also, the In2O3/Si interface showed the formation of ∼20 nm thick region consisting of silicon, indium and oxygen. The results are explained on the basis of diffusion/reaction taking place at the respective interfaces.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号