首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 109 毫秒
1.
2.
直接数字频率合成器的设计及FPGA实现   总被引:15,自引:2,他引:15  
直接数字频率合成器(DDS)通常使用查表的方法实现相位和幅值的转换,文章介绍了一种基于CORDIC算法的DDS。CORDIC算法在三角函数合成上有着广泛的用途,作者从DDS的一般结构和CORDIC算法的基本原理出发.深入探讨了基于CORDIC算法的DDS各部件的结构和FPGA实现。  相似文献   

3.
基于FPGA的直接数字频率合成器的设计和实现   总被引:2,自引:0,他引:2  
介绍了利用Altera的FPGA器件(ACEX EP1K50)实现直接数字频率合成器的工作原理、设计思路、电路结构和改进优化方法。  相似文献   

4.
基于FPGA的直接数字频率合成器的实现   总被引:7,自引:0,他引:7  
由于直接数字频率合成器(DDS)具有其它频率合成器无法比拟的优势而受到青睐。介绍了DDS的基本原理和特点,以及利用现场可编程门阵列(FPGA)实现DDS的过程,给出了基于MATLAB仿真语言的波形仿真结果,利用FPGA器件设计DDS,大大地简化了电路设计过程,缩短了调试时间,提高了可靠性,FPGA的可编程性为修改、添加和优化DDS的功能提供了方便。  相似文献   

5.
6.
本文描述了直接数字频率合成器(DDS)的原理和特点,给出了利用Altera公司的FPGA器件(ACEX EP1K100)实现DDS的方法及仿真结果,并对仿真结果进行了误差分析。  相似文献   

7.
DDS是从相位概念出发直接合成所需波形的一种频率合成技术,其输出频率高达几百MHz,并具有工作频率范围宽、频率分辨力极高、频率转换时间极短、可任意输出波形以及数字调制性能好等特性。文中给出了用FPGA和MAX+PlusⅡ软件的DDS技术来设计正弦、余弦、三角波、锯齿波波形发生器的具体方法,给出了用MATLAB软件对各波形的仿真结果。  相似文献   

8.
通过采用直接数字与直接模拟相结合的混合合成方案,实现了1~18 GHz超宽带、10 Hz小步进输出,跳频时间小于1 μs.并达到了杂散优于-50dBc、相噪优于-90dBc/Hz偏离载频1kHz处的技术要求.  相似文献   

9.
基于FPGA的直接频率合成器的设计和实现   总被引:3,自引:0,他引:3  
介绍了Altera公司的FPGA器件ACEX EP1K50的主要特点,给出了由ACEX EP1K50实现直接数字频率合成的工作原理,设计思路,电路结构和改进优化方法。  相似文献   

10.
一种高效实用的直接数字频率合成器的设计和实现   总被引:2,自引:1,他引:1  
在介绍DDS原理和特点的基础上,充分利用正弦函数的对称性,给出了DDS的一种实现方案,详细阐述了用FPGA实现该方案的方法,文章的最后给出了仿真结果。  相似文献   

11.
直接数字式频率合成器的原理与设计   总被引:4,自引:0,他引:4  
本文介绍了直接数字式频率合成器(DDFS)的一般原理,提出了一个改进方案,并用改进方案设计了一个频率范围为0.01Hz~30kHz,频率间隔为0.01Hz,具有晶体振荡器频率标准稳定度的正弦/余弦信号发生器。  相似文献   

12.
吴进 《电讯技术》2011,51(4):60-63
利用FPGA芯片及D/A转换器,采用直接数字频率合成(DDS)技术,设计并实现了相位、频率可控的三相正弦信号发生器.正弦调制波的产生采用查表法,仅将1/4周期的正弦波数据存入ROM中,减少了系统的硬件开销.仿真和电路测试表明,输出波形完全达到了技术要求,证明了设计的正确性和可行性.  相似文献   

13.
直接数字频率合成器的PFGA实现   总被引:2,自引:1,他引:1  
系统采用Xilinx公司生产的型号为XC3S200的FPGA芯片和Maxim公司、生产的型号为MAX5885的专用D/A芯片,利用直接数字频率合成技术,通过Xilinx公司的ISE9.2开发软件,完成DDS核心部分即相位累加器和ROM查找表的设计。可得到相位连续、频率可变的信号。经过电路设计和模块仿真,验证了设计的正确性。由于FPGA的可编程性,使得修改和优化DDS的功能非常快捷。  相似文献   

14.
一种高速低功耗直接数字频率合成器的设计与实现   总被引:5,自引:1,他引:5  
郭军朝  王森章 《微电子学》2004,34(5):572-574
根据直接数字频率综合(DDS)的原理,采用各种优化技术,设计了一种高速低功耗直接数字频率合成器。详细介绍了电路结构及优化方法。电路采用Xilinx公司的Virtex器件实现,取得了较好的整体性能。  相似文献   

15.
安涛  石远东  郑继刚 《舰船电子对抗》2012,35(4):105-107,120
介绍了直接数字合成器(DDS)原理。针对传统DDS工作频率低,瞬时带宽窄,杂散大等缺点,讨论了基于现场可编程门阵列(FPGA)的并行处理技术设计宽带DDS,利用高速数/模转换器(DAC)和大规模现场可编程门阵列(FPGA)实现了宽带DDS模块的设计,在宽带干扰机、宽带雷达信号波型产生器设计等领域具有广泛的应用前景。  相似文献   

16.
基于FPGA的DDS正弦信号发生器的设计和实现   总被引:17,自引:0,他引:17       下载免费PDF全文
余勇  郑小林   《电子器件》2005,28(3):596-599
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率、相位可控的正弦信号发生器,同时阐述了直接数字频率合成(DDS)技术的工作原理、电路结构,及设计的思路和实现方法。经过设计和电路测试,输出波形达到了技术要求,控制灵活、性能较好,也证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

17.
直接数字频率合成器在FPGA中的设计与实现   总被引:2,自引:0,他引:2  
介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成(DDS)的原理、电路结构、优化方法等.重点介绍DDS技术在FPGA中的实现方法,给出了部分VHDL源程序.FPGA采用ALTERA公司的ACEX系列芯片EP1K30TC-144. 采用该方法设计的DDS系统可以很容易地嵌入到其他系统中而不用外接专用DDS芯片,具有高性能、高性价比,电路结构简单等特点.  相似文献   

18.
数字视频编码器用DDS的设计与实现   总被引:3,自引:0,他引:3  
徐阳  徐志伟  闵昊 《微电子学》2000,30(1):22-24,34
提出了一种应用于数字视频编码器中直接数字频率合成器(DDS)的设计方案。通过优化调度策略,使内嵌ROM阵列的大小仅为普通方法的八分之一。仿真结果表明该方法的相位误差为0.15%,P,PAL制式要求产生副载波信号的SNR为66dB,NTSC制式时的SNR为64dB。  相似文献   

19.
通过对直接数字频率合成技术的研究,采用单片机AT89S51控制DDS芯片AD9854设计出一种高性能直接数字频率合成器。该数字频率合成器采用并行通信的方式传输控制字,通过改变控制字来改变输出频率,得到所需频率的正弦波。软件上采用菜单式、全部键盘控制方式。用4×4矩阵键盘控制,进行功能选择以及设置频率、幅度和相位控制字。界面显示用带中文字库的液晶TS-12864显示,实现了良好的人机交互,系统操作使用方便。用单片机控制DDS数字芯片实现的数字频率合成器,有着比模拟频率合成器更好的抗干扰性、频率分辨率和频谱纯度,同时有着更小的体积。系统经测试得到所需频率的正弦波,数字频率合成器设计成功。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号