首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Energetic particles are used for inducing chemical reactions as well as for modifying the properties of materials with regard to their bulk and surface chemical behavior. The effects are partly caused by radiation damage or phase intermixing, partly by the chemical properties of the individual bombarding particles. In this contribution a survey of relevant applications of these techniques is presented:

1) Chemical reactions of implanted and recoil atoms and their use for syntheses, doping and labeling of compounds.

2) The formation of thin films by decomposing chemical compounds with ion beams.

3) Catalytic effects on substrates treated by sputtering or ion implantation. Recent results with nonmetallic substrates are reviewed. Mainly hydrogenation reactions at a solid/gas interface or redox reactions at an electrified solid/liquid interface are mentioned.

The present status and future prospects of these kinds of investigations will be discussed.  相似文献   

2.
A four-point probe method was used to study, as the layers were removed consecutively, the concentration distribution profiles of free electrons in high-resistivity p-Si with implanted P+ and Na+ ions. Anodic oxidation was used to remove layers at a depth of less than 1 μm, and polishing at larger depths. It was shown that the efficiency of diffusion doping of silicon with sodium is determined, to a large extent, by the ratio between the energies of P+ and Na+ ions. If the conditions are optimal, the efficiency of doping by simultaneous implantation of sodium and phosphorus ions is better (by a factor of 2.5 on the average) than that of doping with Na+ ions only.  相似文献   

3.
Experimental results on photoluminescence spectra and kinetics obtained in the studies of SiO2 oxide layers nanostructured by ion implantation of silicon with subsequent doping and annealing are systematized. It is shown that phosporus doping by ion implantation leads to a severalfold increase in the intensity and decay time of nonstationary photoluminescence at λ = 750 nm because of an increase in the probability of radiative recombination at Si doped quantum dots and of passivation of dangling bonds at the nanocrystal-matrix interfaces.  相似文献   

4.
Abstract

Depth distributions of implanted Mg+- and Ca+-ions and the corresponding radiation damage were studied for different channeling orientations of silicon crystals. The shape of the implantation profiles is discussed by using simple models for dechanneling and energy loss processes. A correlation between dechanneling, damage production and depth distributions of the channeled ions could be observed. This correlation is seen by the maxima shifts in damage and implanted ion distributions between channel and random incidence.  相似文献   

5.
Abstract

Antimony implantation into <111> silicon was carried out at RT with a dose of 4.5 × 1015 cm?2, energy 75 keV. For the annealing of the sample pulses of a Q-switched ruby laser were used with energy density of ~ 1.5 Joule/cm2 and duration of 15–20 nsec. Hall effect measurement was applied to determine the electrical activity of the layers. Lattice location and the depth profile of Sb was studied by RBS and channeling technique. Measurements show that after laser annealing Sb occupies mostly substitutional sites in Si with 84% electrical activity. It has been shown that after laser annealing the concentration of Sb in lattice sites is almost an order of magnitude higher than the limit of solid solubility. Isochron and isothermal annealing of these samples up to 1150°C was carried out to study the kinetics of reverse annealing of antimony.  相似文献   

6.
Abstract

For locating self-interstitial atoms in silicon by means of Rutherford backscattering of channelled ions, boron has been implanted at room temperature and at the temperature of liquid nitrogen. The employed implantation doses were 2. 1014 cm?2 and 7. 1013 cm?2, respectively. The experiments have been performed at 300 K and at 120 K to reduce ionization-stimulated annealing. The beam of 1.4 MeV He+-ions was highly collimated.

To obtain the configuration of implantation-induced self-interstitial atoms symmetry considerations have been performed.

The location experiments presented indicate the existence of isolated self-interstitial atoms in silicon. Under the conditions of these experiments the interstitial atoms assume a (110) split configuration of orthorhombic symmetry.  相似文献   

7.
This paper reports our findings on the boron and phosphorus doping of very thin amorphous silicon layers by low energy ion implantation. These doped layers are implemented into a so‐called tunnel oxide passivated contact structure for Si solar cells. They act as carrier‐selective contacts and, thereby, lead to a significant reduction of the cell's recombination current. In this paper we address the influence of ion energy and ion dose in conjunction with the obligatory high‐temperature anneal needed for the realization of the passivation quality of the carrier‐selective contacts. The good results on the phosphorus‐doped (implied Voc = 725 mV) and boron‐doped passivated contacts (iVoc = 694 mV) open a promising route to a simplified interdigitated back contact (IBC) solar cell featuring passivated contacts. (© 2014 WILEY‐VCH Verlag GmbH &Co. KGaA, Weinheim)  相似文献   

8.
Ultra-low-energy ion implantation of silicon with a hydrogen-terminated (0 0 1) surface was carried out using a mass-separated 31P+ ion beam. The ion energy was 30 eV, the displacement energy of silicon, and the ion doses were 6 × 1013 ions/cm2. Annealing after the implantation was not carried out. The effects of ion implantation on the surface electrical state of silicon were investigated using X-ray photoelectron spectroscopy (XPS). The Si 2p peak position using XPS depends on the doping conditions because the Fermi level of the hydrogen-terminated silicon surface is unpinned. The Si 2p peak position of the specimen after ion implantation at a vacuum pressure of 3 × 10−7 Pa was shifted to the higher energy region. It suggested the possibility of phosphorus doping in silicon without annealing. In the case of ion implantation at 5 × 10−5 Pa, the Si 2p peak position was not shifted, and the peak was broadened because of the damage by the fast neutrals. Ultra-low-energy ion doping can be achieved at ultra-high-vacuum conditions.  相似文献   

9.
Several doses of 200 KeV phosphorus ions have been implanted under channeling conditions along the [110] direction in silicon.

Range distribution has been determined for the three implant doses 1013, 1014, 1015 P+/cm2 both with the electrical measurements and the neutron activation techniques.

The radiation damage distribution has been determined both with 290 KeV proton back-scattering analysis and with transmission electron microscopy (TEM) observations.

Good agreement has been found between electrical and neutron activation profiles in the samples where 100% of the implanted dose had been electrically activated by means of annealing.

Carrier concentration profiles, from samples implanted with 1015 P+/cm2, determined after two different annealing temperatures (500°C and 700°C) have bcen compared with the radiation damage distribution and a correlation between damage and phosphorus electrical activation process seems to be possible.

Maximum damage peak, as determined by back-scattering analysis, shifts from ~0.4 μ depth in the lower dose(5 × 1014 P+/cm2), to ~0.22 pm depth in the higher implanted dose (4 × 1015 P+/cm2). Damage distribution of phosphorus ions random implanted in the same experimental conditions shows 3 peak at ~0.2 μn depth.

In accordance with the back-scattering analysis, T.E.M. observations on 1015P+/cm2 implanted samples show the presence of amorphous regions at depth between 0.25 and 0.5 μm from the surface. In the most damaged layer ~0.3μm in depth, a surface density of ~1012/cm2 amorphous regions 25-50 A diameter was observed.  相似文献   

10.
Nanocrystalline boron and phosphorus doped silicon particles were produced in a microwave reactor, collected, and dispersed in ethanol. Pulsed laser annealing of spin‐coated films of these particles resulted in p‐ and n‐type conductive layers on flexible substrates if a threshold laser energy density of 60 mJ/cm2 was exceeded. The thermopower of the laser sintered layers exhibits a distinct maximum at a doping concen‐ tration around 1019 cm–3 for both boron and phosphorus doping with an absolute value of the Seebeck coefficient of about 300 µV/K. Since the thermal conductivity of the layers is reduced by nearly the same factor compared to bulk crystalline silicon as the electrical conductivity, these results are promising for the application of such nanocrystalline layers in thin film thermoelectric devices. (© 2007 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

11.
The photoluminescence spectra of erbium centers in SiO2 films with ion-synthesized silicon nanoclusters under nonresonant excitation were investigated. Erbium was introduced into thermal SiO2 films by ion implantation. The dependences of photoluminescence intensity on the dose, the order of ion implantation of Si and Er, the annealing temperature, and additional Ar+ and P+ ion irradiation regimes, i.e., factors determining the influence of radiation damage and doping on sensitization of erbium luminescence by silicon nanoclusters, were determined. It was found that the sensitization effect and its amplification due to doping with phosphorus are most pronounced under the conditions where nanoclusters are amorphous. The quenching of photoluminescence due to radiation damage in this case manifests itself to a lesser extent than for crystalline nanoclusters. The role of various factors in the observed regularities was discussed in the framework of the existing concepts of the mechanisms of light emission and energy exchange in the system of silicon nanoclusters and erbium centers.  相似文献   

12.
Abstract

Ion implantation can be used to introduce network damage and to alter the chemical composition in glasses. Structural changes can be inferred from IR measurements near 1000 cm?1 and by optical absorption near 2150 Å. Implantation-induced damage decreases the implanted volume in fused silica with consequent changes in the refractive index, the near-surface hardness, and the tensile surface stress. Prior work in these areas is reviewed. Implantation into alkali silicate glasses depletes the alkali content in the implanted region. These changes allow preferential surface crystallization in Li2O-2SiO2 glasses. Crystallization of amorphous SiO2 can be induced by implantation of Li. Insight into the crystallization process is obtained by following the associated ion movement by elastic recoil detection (ERD) and optical techniques. Implantation of 20keV H shows that saturation of implanted H-sites in fused silica occurs at about 2.2 × 1021 H/cm3 in agreement with free volume estimates of the maximum number of available interstitial sites. Details of H and D interactions in fused silica were studied as a function of fluence and temperature. Results are of interest in studies of corrosion in glasses considered for nuclear waste encapsulation and for components in fusion reactors.

IV Summary  相似文献   

13.
The effect of contamination of a cyanide, phosphate-buffered gold-plating bath with iron, cobalt, or nickel on the codeposition of these metals with gold, was investigated using 59Fe, 58Co and 63Ni. It was shown that cobalt and nickel were practically not codeposited in gold if present in the solution in amounts up to 1000 or 2000 mg/dm3 respectively. Iron deposited in high amounts (up to 18%) depending on its concentration and the cathode current density. The diminishing in current efficiency of the plating process is proposed as an early signal of the contamination of gold deposit with iron.  相似文献   

14.
Oxygen isotopic exchange has been studied for a number of materials in the BIMEVOX family of compounds. The exchanges were undertaken at 620 °C with gold grid electrodes on the samples and with a constant current flowing through the samples during the exchange anneals. These conditions simulate those used when these materials are employed in oxygen separation devices where substantial oxygen fluxes can be sustained using such simple gold grid electrodes.The results showed that samples exchanged under current flow conditions exhibit substantial oxygen exchange at the cathode, in contrast to samples where no electrical bias is applied. This effect was sustained in regions remote from the sputtered gold electrode. Complementary studies of the samples using X-ray diffraction revealed subtle changes in the diffraction patterns following experiments with current flow. These changes are ascribed to a reduction of V5+ to V4+ at the cathode locally transforming the BIMEVOX material into a mixed conducting material, and hence enhancing the oxygen isotopic exchange process.  相似文献   

15.
1. Einlcitung

Die Entwieklung der modernen Radiochemie und analytisehen Chemie ist mit der Notwendigkeit der Ausarbeitung nciicr Expreßmethoden zur Trennung und Analyse von Elementen mit ähnlichen chemischen Eigenschaften verbunden. Eine der aussichtsreichsten Methoden ist die Ionenelektrofokussierung, die in der Fachliteratur auch als fokussierender lonenaustausch oder elektrophoretisehe Ionenfokussierung bezeiehnet wird. Die Prinzipien diescr Methode sind von Schumacher, Kiso, Gijbels sowie von Schwedow und Mitarbeitern entwickelt worden [1-4].

Die Ionenelektrofokussierung beruht auf der Ausnutzung eines Gegenstroms von Kationen und Konipiexanionen der zu trennenden Elemente in einem Konzentrationsgradienten des Komplexbildners und pH-Gradienten der Lösung längs des elektrisehen Feldes. Der Vorzug dieser Methode gegenüber den anderen Elcktromigrationsniethoden liegt in der kurzercn Versuehsdauer.

Das Ziel der vorliegenden Untersuchungen bestand darin, eine Trennmethode für Morybdän und Rhenium auf der Grundlage der Ionenelektrofokussierung aiiszuarbeiten.  相似文献   

16.
A high-concentration in-situ phosphorus-doping technique for silicon low-temperature epitaxial growth with Si2H6 has been developed. Growth temperature has an impact on the crystal quality and on lattice strain of phosphorus-doped silicon layers. Resistivity, micro-Raman spectroscopy, and high-resolution X-ray diffraction indicated that good crystal quality was achieved at a growth temperature of 525 °C. On the other hand, growth pressure has little influence on crystal quality or on lattice strain except for surface morphology. By optimizing epitaxial growth conditions, an extremely high concentration of phosphorous doping was achieved without a high-temperature activation annealing, and the resultant good crystal quality of the phosphorus-doped silicon layer gave a very low resistivity. Accordingly, the high-concentration in-situ phosphorus doping is a powerful technique to fabricate future ultra-high-speed SiGe HBTs.  相似文献   

17.

The present work is concerned with a reduction procedure for impurities in GaP substrate slices. Bý annealing of GaP in contact with high purity gallium the concentration of definite impurities is reduced. Heat treatment of GaP under phosphorus pressure introduces another efficient extraction mechanism which is based on phosphosilicate glass gettering and well known in silicon technology.

  相似文献   

18.
Introduction

Among the products of irradiation of natural molýbdenum by deuterons with energies up to 13 MeV, by means γ and X-ray speclrometry were identified these radlonudldes: 99Nb, 92mNb, 95m+gNb 96Nb, 99Mo, 101Mo, 92Tc, 93m+gTc, 94m+g^>Tc, 95m+gTc, 96Tc, 97mTc, 99mTc,101Tc, and 89Zr. With the more intensive lines of γ transitions of 90Nb, 96Nb, 93m+gTc, 94m+gTc, 95m+gTc, 99Tc and 97mTc accurate photon energies have been established and for the lines 1475.7 and 1520.5 keV of 93Tc, 820.2 keV of 95mTc, and 96.4 keV of 97mTc also the absolute intensities of the emitted γ were determined. By means of aluminium foils, by which the set of Mo foils had been interlaid, the atomic recoil in forward and backward direction ivas established for the 17.82 mg/cm2 Mo foils and deuteron energy up to 12.7 MeV and the ion current of 3.4 μA.  相似文献   

19.
Unterschiedlich dotierte und unter verschiedenen Brennbedingungen hergestellte Aluminiumoxid-Keramiken wurden auf ihre Eignung in der Thermolumineszenzdosimetrie untersucht.

Die Herslellung der Keramiken wird beschrieben, und die für die Dosimetrie wichtigen Eigenschaften wie Glowkurve, Energiedosischarakteristik, Fading, Wiederverwendbarkeit, untere Nachweisgrenze und Energieabhängigkeit werden angegeben. Die Vor- und Nachteile der Aluminiumoxid-Keramik werden gegenübergestellt.  相似文献   

20.
Stability of radioactive sodium ortho-131I-hippurate produced at the Boris Kidri? Institute, Vin?a, according to the modified procedure for application in nuclear medicine in Yugoslavia was investigated.

In these investigations stability was observed as a function of the ageing time of the solutions, their radioactivity (A mCi/ml; A mCi/mg of the carrier; Atot), the presence of some bacteriostatics and stabilizers (C6H5—CH2OH, Na-citrate), sterilization process and storage conditions.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号