首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Heavy ion recording in dielectric isotropic detectors has a wide range of applications in uranium cartography or dating. In this study, solid state nuclear tracks detectors (SSNTDs) have been applied to localise neptunium-237, in vivo, by means of the neutron-induced fission cartography of neptunium-237 nuclei in organ sections. At the cellular level, a precise localisation can only be achieved with a good understanding of the etch pit evolution during the chemical etching process.

A tailor-made software for modelling the etching process has been developed to simulate the profile of an etched track produced by a neutron-induced fission fragment. This software is based on a model that considers the evolution of the track etching velocity along the damage trail in order to perfectly model the shape of the track.  相似文献   


2.
Carbon nanotubes (CNTs) are classified among the most promising novel materials due to their exceptional physical properties. Still, optimal fabrication of carbon nanotubes involves a number of challenges. Whatever be the fabrication method, a process optimization can be evolved only on the basis of a good theoretical model to predict the parametric influences on the final product. The work reported here investigates the dependence of the deposition parameters on the controllable parameters for carbon nanotube growth during Chemical vapor deposition (CVD), through a chemical kinetic model. The theoretical model consisted of the design equations and the energy balance equations, based on the reaction kinetics, for the plug flow and the batch reactor, which simulate the CVD system. The numerical simulation code was developed in-house in a g++ environment. The results predicted the growth conditions for CNT: the deposition temperature, pressure and number of atoms, which were found to be influenced substantially by the initial controllable parameters namely the temperature, volumetric flow rate of the carbon precursor, and the reaction time. An experimental study was also conducted on a CVD system developed in the laboratory, to benchmark the computational results. The experimental results were found to agree well with the theoretical predictions obtained from the model.  相似文献   

3.
Nand Lal  Arjun Dev  K K Nagpaul 《Pramana》1974,3(3):204-208
Hornblende which occurs in igneous and metamorphic rocks is well suited for age determination by the fission track method. The tracks which are readily etched in hydrofluoric acid are annealed in 1 hr at 530° C. Extrapolation of the experimentally determined temperatures suggest that a temperature of 200° C for one m.y. will erase all the tracks present before the heating. Fission track ages of hornblende agree with the main orogenic metamorphic cycles.  相似文献   

4.
In this study, continuous swift heavy ion tracks in thin polymer foils were etched from both sides to create two conical nanopores opposing each other. Shortly before both cones merged, one of the nanopores was filled with a silver salt solution, whereas etching of the other cone continued. At the moment of track breakthrough, the etchant reacted with the silver salt solution by forming an impermeable and insulating membrane. Continued etching around the thus-created obstacle led to repetitive {etchant – silver salt solution} interactions. The coupling of the two chemical reactions, {etchant – polymer} and {etchant – silver salt solution}, within the confinement of etched tracks, with continuously changing shapes, showed a highly dynamic nature as recorded by measuring both the electrical current and the optical transmission across the foils. At low etching speeds, a central membrane that grew in radius and thickness with time until, at a critical thickness, the membrane became rather impermeable was formed. However, at high etching speeds, the emerging reaction products exhibited a sponge-like consistency, which allowed for their infinite growth. This precipitation was accompanied by a pronounced current spike formation. A simple theoretical model explains, at a minimum, the basic features.  相似文献   

5.
6.
In recent experimental investigations, ethylene glycol is used as a model substance for biomass-based pyrolysis oil in an entrained flow gasifier. In order to gain a deeper insight into process sequences and to conduct parametric analysis, this study describes the development and validation of a detailed chemical kinetic model of high-temperature ethylene glycol gasification. A detailed reaction mechanism based on elementary reactions has been developed considering 80 species and 1243 reactions for application in CFD software. In addition to mechanism validation based on ignition delay times, laminar flame speeds and concentration profiles, simulation results are compared to experimental data of ethylene glycol gasification under complex turbulent reactive flow conditions.  相似文献   

7.
8.
High spin states of 127 Ba have been investigated by means of the OSIRIS anti-compton γ -spectrometer. Five new bands, 48levels and 77transitions were found. Spin suggestions for four bandheads could be given. On ground of these suggestions routhians and alignments were compiled for the observed bands, giving a first insight into the high spin structure of this nucleus.  相似文献   

9.
Electron-assisted chemical etching of oxidized chromium, CrOx, has been studied by scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS) and atomic force microscopy (AFM). Two model substrates were used—10 nm CrOx deposited on Si(1 0 0) that was covered with either native oxide or a 20 nm Au/Pd alloy film. Using chlorine and/or oxygen as etching gases, the experiments were conducted in a customized high vacuum system, equipped with a high density electron source and a low pressure reaction cell. On both substrates, electron-assisted chemical etching of CiOx was detected by SEM, EDS and AFM. Making the method questionable for etching applications, there is substantial substrate damage associated with the etching. The SEM images indicate strongly inhomogeneous material removal, apparently initiated and propagated from specific but unidentified sites. In the experiments involving the Au/Pd film, there was phase separation of Au and Pd, and dewetting to form metallic islands. AFM data show that the etched holes were as deep as 200 nm, confirming relatively rapid etching of the Si substrate after the top layer of Cr oxide was removed.  相似文献   

10.
A detailed chemical kinetic mechanism for gas phase combustion of 2,4,6-tri-nitrotoluene (TNT) has been developed to explore problems of explosive performance and of soot formation during the destruction of munitions. Thermodynamic properties of intermediate and radical species are estimated by group additivity. Reactions for the decomposition and oxidation of TNT and its intermediate products are assembled, based on information from the literature and from analogous reactions where the rate constants are available. The resulting detailed reaction mechanism for TNT is added to existing reaction mechanisms for RDX and for hydrocarbons which can be produced from TNT and RDX. Properties of the reaction mechanism are demonstrated by examining problems of soot formation during open burning of TNT and mixtures of TNT and RDX. Computed results show how addition of oxygen to TNT can reduce the amounts of soot formed in its combustion and why RDX and most mixtures of RDX and TNT do not produce soot during their combustion or incineration.  相似文献   

11.
In the present work, the effect of four different doses of 62 MeV protons, on the fission fragment track etching characteristics of two polymers, viz. polycarbonate (Makrofol-N (MFN)) and polyimide (PI) are studied by nuclear track technique. The bulk etch-rate of PI increased by around 30% at the highest proton dose, whereas the activation energy of etching remained almost constant for the same. A considerable increase in the bulk etch-rate of MFN was observed (75%) at the highest proton dose. The activation energy of etching of the fission fragment tracks in MFN was also found to be an inverse function of dose.  相似文献   

12.
The state-of-the-art in the electrochemical etching (ECE) amplification of charged particle tracks in polymeric detectors and its applications in particular in radiation dosimetry are presented and discussed in this paper. During the past quarter of century, extensive efforts have been made on research and development in the world as well as at NRPD in AEOI on production of high voltage generators, construction of ECE chamber systems, optimization of ECE conditions, development of detectors, studies on basic physical and chemical phenomena, promotion of large-scale applications, etc. Quality high voltage generators can now be home made or are commercially available. The ECE chamber systems are advanced for special purposes as well as for large-scale applications (e.g. pressure chamber, triplet ECE or TECE chamber, etc. from NRPD). Many parameters have been optimized and new parameters such as internal heating, pressure, detector dimensions, etchant volume, chamber insulation, etc. have been recently discovered. Lexan polycarbonates (PC) and CR-39 have been shown to be the most successful detectors for ECE, while other detectors were also applied. The fundamental phenomena including “dielectric breakdown”, “dielectric loss”, “electro-osmosis”, “dielectrophoresis”, “electrostriction”, etc. although primarily proved to exist and studied, need to be further studied. The novel triplet ECE (TECE) method has provided a new approach for track amplification, firing tree production, fractal tree generation, etc. Also the novel dyed ECE track (DYECET) method enables one to study in more detail the structure of tracks, cracks, fractals, etc. Large-scale radon monitoring indoors and outdoors, large-scale neutron personnel dosimetry, low level radionuclide determination in environmental samples, autoradiography, beam profile determination, etc. are considered some successful applications. In this paper, the above were explored with emphasis on recent discoveries and inventions at NRPD in AEOI.  相似文献   

13.
The directly electrically heated etching stand has been developed for the electrochemical treatment of track detectors to avoid the time consuming overnight pre-heating and to simplify the procedure. The large plate electrode containing several heating elements on its rear side creates the main part of the etching stand. The temperature of the electrode connected to the control unit is variable within 30–70°C. Two types of etching stands were tested. The uniformity of the temperature distribution on the electrode surface, heating rate and stability of temperature were studied as the main parameters and the results are presented. The technical solution allows to construct smaller (even portable) devices for the electrochemical etching.  相似文献   

14.
The basic properties of the electrochemical track etching method proposed by Tommasino were studied for PC and PET foils irradiated with fission fragments and/or alpha-particles. Etching was performed in a specially designed double-wall vessel applying electric fields of different strengths and frequencies. The variation in the diameters of the discharge spots produced around the tracks of fission fragments entering PC and PET foils at right angles was systematically studied as a function of the strength and frequency of the electric field, etching time and etchant temperature. For alpha-tracks registered in PC foils the dependence of the discharge spot diameter on particle energy was also determined. It was found that the production of discharge spots started at a threshold field strength depending on the type of particle. The temperature dependence of the growing rate of discharge spots followed the Arrhenius law, but with a reduced activation energy as compared to that obtained for the chemical etching rate of the bulk material.  相似文献   

15.
《Radiation measurements》2009,44(2):173-175
This work presents a novel method for determining bulk etch rate of CR-39 during prolonged etching by masking the surface with a ferrofluidic film held in position by magnetostatic forces. The CR-39 etching conditions were 6.25 M NaOH solution for 24 h at temperatures ranging from 50 to 80 °C. After etching, the heights of the resulting un-etched plateaus were measured using a Talyscan 150 profilometer. The removed layer thicknesses ranged from 12 to 85 μm, giving corresponding bulk etch rates in the range 0.5–3.54 μm/h.  相似文献   

16.
The effect of grain orientation on the effectiveness of pre-etching before color etching was investigated by the help of electron back scattering diffraction and atomic force microscopy in case of cast iron. Strong correlation was found between the angle between the specimen normal and the [001] orientation of the ferrite grains and the depth of the etching. If the angle between the specimen normal and the [001] direction of the ferritic grain is small, then the speed of the etching is low, but the lateral variation of the etching speed within the grain is larger.  相似文献   

17.
We report on the effect of light-ion irradiation on the size distribution of etched tracks produced by medium energy heavy-ions in polycarbonate. Makrofol KG polycarbonate foils were treated with 2 MeV H+ ions at different fluences φ either before or after a short irradiation with 18 MeV Au7+. The heavy ion irradiation was used to produce the latent tracks in the foils and the proton beam acted as a perturbation to the matrix. The proton irradiation causes initially a decrease in the mean etched pore size, as compared to samples only bombarded by Au ions, reaching a minimum at H+ fluences around 2–5×1013 cm−2, while at higher φ the pore size starts to grow again. This effect is attributed to the action of two competitive processes that dominate in different fluence regimes. The decrease in the pore radii at low fluences is attributed to an increase in crystallinity induced by the proton beam. As the total dose builds up, this effect is surpassed by chain scission and amorphization that grow at a lower rate and cause the pore radii to increase again.  相似文献   

18.
The variations of the bulk etching rate of electron-bombarded CN, CA, CAB, PC and PET sheets were studied as a function of electron dose and etching temperature. A conclusion was made that in the plastics under study a radiation-damaged region produced, either by an electron beam or a heavily ionizing nuclear particle display, to a certain extent, analogous etching properties. It was shown that the ratio of the etching rates of an electron-bombarded and an unirradiated sheet increases with the increase of the etching temperature for PC and PET, and with the decrease of etching temperature for CN and CA. Similar temperature dependences were obtained for the ratio of track– and bulk-etching rates (i.e. for registration sensitivity) if the plastic sheets were irradiated with heavier nuclear particles. Our results seem to confirm the assumption that the delta electrons may play an important role in the formation of primary nuclear particle tracks in plastic track detectors.  相似文献   

19.
The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity.  相似文献   

20.
A statistical multistep model for nuclear reactions is extended to the fission channel by using a statistical hypothesis. Results are presented for the neutron-induced fission of238 U.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号