首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
Electrochemical etching of amorphous SiC in fluoride solution was studied. Anodic dissolution and passivation are observed for p-type electrodes under dark illumination. The dissolution of p-type a-Si1−xCx is found to be under mixed transport/kinetic control; the diffusion current is of first order in fluoride concentration. Porous etching was not observed in this case. The surface finish of 6H-SiC depends on the experimental conditions; both uniform and porous etching is observed. In this paper, we report the formation of porous p-type amorphous SiC (a-Si1−xCx) films, elaborated previously by DC magnetron sputtering and analyze the porous layers (PSC) using scanning electron microscopy, spectrophotometer and photoluminescence. The crystal structures and the preparation conditions of porous SiC are shown to have an effect on the structural and electrical properties of the material obtained. SEM observation indicates that the porous a-Si1−xCx layers have shown some specific feature; a semi-cylindrical structure of the porous network has been observed.  相似文献   

2.
An antireflective structure with two-dimensional 300-nm periodicity was fabricated on a phosphate glass surface using an imprinting process with a SiC mold. The optimized structure designed using RCWA calculation was a convex circular cone sharing the ridge line of adjacent cones. The SiC mold was fabricated using electron beam drawing and subsequent reactive ion etching with CHF3 and O2 gases. The glass’ surface reflectance was estimated as 0.2% at 530 nm wavelength, which was approximately 1/20 that of the optically polished surface.  相似文献   

3.
The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H2 mixtures and at constant bias voltage (−100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH4/H2 mixtures, the etch rate goes through a maximum for 10% CH4 indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH4/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH4 in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.  相似文献   

4.
Silicon micro-nano pillars are cost-efficiently integrated using twice cesium chloride (CsCl) islands lithography technique and dry etching for solar cell applications. The micro PMMA islands are fabricated by inductively coupled plasma (ICP) dry etching with micro CsCl islands as masks, and the nano CsCl islands with nano sizes then are made on the surface of micro PMMA islands and silicon. By ICP dry etching with the mask of micro PMMA islands and nano CsCl islands, the micro-nano silicon pillars are made and certain height micro pillars are randomly positioned between dense arrays of nano pillars with different morphologies by controlling etching conditions. With 300 nm depth p-n junction detected by secondary-ion mass spectrometry (SIMS), the micro pillars of the diameter about 1 μm form the core–shell p-n junction to maximize utility of p-n junction interface and enable efficient free carrier collection, and the nano tapered pillars of 150 nm diameter are used to decrease reflection by a graded-refractive-index. Compared to single micro or nano pillar arrayed cells, the co-integrated solar cell with micro and nano pillars demonstrates improved photovoltaic characteristic that is a photovoltaic conversion efficiency (PCE) of 15.35 % with a short circuit current density (J sc) of 38.40 mA/cm2 and an open circuit voltage (V oc) of 555.7 mV, which benefits from the advantages of micro-nano pillar structures and can be further improved upon process optimization.  相似文献   

5.
6.
The present paper investigates the surface roughness generated by reactive ion etching (RIE) on the location between silicon dioxide (SiO2) micro-pits structures. The micro-pit pattern on polymethyl methacrylate (PMMA) mask was created by an electron beam lithography tool. By using PMMA as a polymer resist mask layer for pattern transfer in RIE process, the carbon (C) content in etching process is increased, which leads to decrease of F/C ratio and causes domination of polymerization reactions. This leads to high surface roughness via self-organized nanostructure features generated on SiO2 surface which was analyzed using atomic force microscopy (AFM) technique. The etching chemistry of CHF3 plasma on PMMA masking layer and SiO2 is analyzed to explain the polymerization. The surface root-mean-square (RMS) roughness below 1 nm was achieved by decreasing the RF power to 150 W and process pressure lower than 10 mTorr.  相似文献   

7.
ZnO films were deposited on c-plane Al2O3 substrates by pulsed laser deposition. The etching treatments for as-grown ZnO films were performed in NH4Cl aqueous solution as a function of NH4Cl concentration and etching time. It was found that NH4Cl solution is an appropriate candidate for ZnO wet etching because of its controllable and moderate etching rate. The influence of etching treatment on the morphology, optical and electrical properties of the ZnO films has been investigated systematically by means of X-ray diffraction, atomic force microscope, photoluminescence and Hall effect. The results indicated that the surface morphology and optical properties of the films were highly influenced by etching treatment.  相似文献   

8.
Inductively coupled plasma (ICP) etching of GaN is systemically investigated by changing ICP power/RF bias power, operating pressure, and Cl2/BCl3 gas mixing ratio. The hexagonal etch pits related to screw dislocation existing along GaN epitaxial layer were observed on the etched GaN surface after ICP etching. The intensity of band-edge emission is significantly reduced from the etched n-GaN surface, which reveals that plasma-induced damage are generated after ICP etching. The oblique sidewall is transferred into GaN using a combination of Cl2/BCl3 plasma chemistry and hard mask SiO2. By adjusting ICP etching process parameters, oblique sidewalls with various oblique angles can be formed, allowing for conformal metal lines coverage across the mesa structures, which can play an important role in the interconnection of multiple microchips for light emitting diodes (LEDs) fabrication.  相似文献   

9.
We propose a reactive ion etching (RIE) process of an L10-FePt film which is expected as one of the promising materials for the perpendicular magnetic recording media. The etching was carried out using an inductively coupled plasma (ICP) RIE system and an etching gas combination of CH4/O2/NH3 was employed. The L10-FePt films were deposited on (1 0 0)-oriented MgO substrates using a magnetron sputtering system. The etching masks of Ti were patterned on the FePt films lithographically. The etch rates of ∼16 and ∼0 nm/min were obtained for the FePt film and the Ti mask, respectively. The atomic force microscopy (AFM) analyses provided the average roughness (Ra) value of 0.95 nm for the etched FePt surface, that is, a very flat etched surface was obtained. Those results show that the highly selective RIE process of L10-FePt was successfully realized in the present study.  相似文献   

10.
A?novel method of combining photolithography, wet chemical etching and oxidation process was proposed to fabricate large area of silicon microwire (SiMW) arrays. The dimensions of the SiMWs can be easily controlled by photomask and etching conditions. Solar cells based on the heterojunction between SiMW and double-walled carbon nanotubes (DWNTs) were constructed. The initial test on the DWNT/SiMW shows efficiency (??) of?0.59%. By adding a few drops of HBr/B2 electrolyte, the efficiency was improved to 1.96% with J sc=19.2?mA/cm2 and V oc=0.35?V, FF=29.2%, showing the potential of SiMWs in photovoltaic applications.  相似文献   

11.
Anisotropic etching of hexagonal boron nitride (h-BN) and boron–carbon–nitrogen (BCN) basal plane can be an exciting platform to develop well-defined structures with interesting properties. Here, we developed an etching process of atomically thin h-BN and BCN layers to fabricate nanoribbons (NRs) and other distinct structures by annealing in H2 and Ar gas mixture. BCN and h-BN films are grown on Cu foil by chemical vapor deposition (CVD) using solid camphor and ammonia borane as carbon, nitrogen and boron source, respectively. Formation of micron size well-defined etched holes and NRs are obtained in both h-BN and BCN layers by the post growth annealing process. The etching process of h-BN and BCN basal plane to fabricate NRs and other structures with pronounced edges can open up new possibilities in 2D hybrid materials.  相似文献   

12.
Previously, plasma‐enhanced dry etching has been used to generate three‐dimensional GaAs semiconductor structures, however, dry etching induces surface damages that degrade optical properties. Here, we demonstrate the fabrication method forming various types of GaAs microstructures through the combination etching process using the wet‐chemical solution. In this method, a gold (Au)‐pattern is employed as an etching mask to facilitate not only the typical wet etching but also the metal‐assisted chemical etching (MacEtch). High‐aspect‐ratio, tapered GaAs micropillars are produced by using [HF]:[H2O2]:[EtOH] as an etching solution, and their taper angle can be tuned by changing the molar ratio of the etching solution. In addition, GaAs microholes are formed when UV light is illuminated during the etching process. Since the wet etching process is free of the surface damage compared to the dry etching process, the GaAs microstructures demonstrated to be well formed here are promising for the applications of III–V optoelectronic devices such as solar cells, laser diodes, and photonic crystal devices. (© 2014 WILEY‐VCH Verlag GmbH & Co. KGaA, Weinheim)  相似文献   

13.
Maskless etching of Mn-Zn ferrite in H3PO4 aqueous solution by Ar+-ion laser irradiation has been investigated to obtain high etching rates and aspect-ratios of etched grooves. The etching processes have been found to be photochemical in the low laser power region and thermochemical in the high laser power region. High etching rates of up to 340 μm/s and an aspect-ratio of 30 for slab structures have been achieved. In the case of high aspect-ratio structure, the etching rate was limited by the low diffusion efficiency of etched products in the etchant. Periodic ripple structures have been observed under specific etching conditions.  相似文献   

14.
In the silicon wet etching process, the “pseudo-mask” formed by the hydrogen bubbles generated during the etching process is the reason causing high surface roughness and poor surface quality. Based upon the ultrasonic mechanical effect and wettability enhanced by isopropyl alcohol (IPA), ultrasonic agitation and IPA were used to improve surface quality of Si (1 1 1) crystal plane during silicon wet etching process. The surface roughness Rq is smaller than 15 nm when using ultrasonic agitation and Rq is smaller than 7 nm when using IPA. When the range of IPA concentration (mass fraction, wt%) is 5–20%, the ultrasonic frequency is 100 kHz and the ultrasound intensity is 30–50 W/L, the surface roughness Rq is smaller than 2 nm when combining ultrasonic agitation and IPA. The surface roughness Rq is equal to 1 nm when the mass fraction of IPA, ultrasound intensity and the ultrasonic frequency is 20%, 50 W and 100 kHz respectively. The experimental results indicated that the combination of ultrasonic agitation and IPA could obtain a lower surface roughness of Si (1 1 1) crystal plane in silicon wet etching process.  相似文献   

15.
The fabrication of Step-and-Flash Imprint Lithography (S-FIL) templates with line widths of 50 nm is described in this work. The structures have been patterned using a Ga+ focused ion beam (FIB) in a quartz template. FIB milling is generally accompanied with re-deposition effects, which represent a hindrance to densely patterned nanostructures required in most NIL applications. To reduce these re-deposition effects, in this research, xenon difluoride (XeF2) enhanced FIB etching was applied that also increases the material removal rates in comparison to pure kinetic ion sputtering. To optimise the process when using XeF2 gas the following ion scanning parameters have been examined: ion dose, beam current, dwell time and beam overlap (step size). It has been found that the assisting gases at very low doses do not bring significant etching enhancements whilst the sputtering rates have increased at high doses. Using the XeF2 gas-assisted etching, FIB structuring has been used to fabricate <100 nm structures onto quartz S-FIL templates. The presence of XeF2 considerably enhances the etching rate of quartz without any significant negative effects on the spatial resolution of the FIB lithographic process and reduces the template processing time.  相似文献   

16.
We report the use of gold, nickel and diamond nanoparticles as a masking material for realization of diamond nano-structures by applying the dry plasma etching process. Applying low power plasma (100 W) in a gas mixture of CF4/O2 for 5 minutes results in a formation of three different types of diamond nanostructures, depending on the mask type material and particle size. Using of the Ni mask results in realization of diamond nano-rods, applying of the Au mask brings cauliflower-like structures, and using the diamond powder allows the production of irregular nano-structures. The main advance of the presented etching procedure is use of a self-assembly strategy where no lithographic steps are implemented.   相似文献   

17.
This report presents the results of the novel fabrication of 4H-SiC pillars with nanopores using ICP-RIE dry etching. Cl2/Ar gas plasma with various mass flow rates was used in this etching process to produce SiC nanopillars without using patterned etch mask. Cylindrical pillars of 300 nm diameter and 500 nm height with smooth side walls were etched on SiC wafer. The etching condition for the optimized fabrication of SiC nanopillars is presented in this report. Each nanopillar has been produced with a nanosize pore at the center along its length and up to the middle of the cylindrical nanopillar; it is a unique feature has not ever been reported in case of SiC. Inclusion of oxygen was found influence the formation of nanopillars by the effect of SiO2 micro masking. The formation of self assembled SiO2 layer and its micro masking effect in the fabrication of this unique nanostructure has been investigated using TEM, STEM and EDAX measurements.  相似文献   

18.
SiGe islands grown by deposition of 10 monolayers of Ge on Si(0 0 1) at 740 °C were investigated by using a combination of selective wet chemical etching and atomic force microscopy. The used etchant, a solution consisting of ammonium hydroxide and hydrogen peroxide, shows a high selectivity of Ge over SixGe1−x and is characterized by relatively slow etching rates for Si-rich alloys. By performing successive etching experiments on the same sample area, we are able to gain a deeper insight into the lateral displacement the islands undergo during post growth annealing.  相似文献   

19.
Laser-induced etching of polycrystalline Al2O3TiC material by tightly focused CW Ar ion laser has been investigated in both H3PO4 and KOH solutions with influence of an external electric field. It is found that a weak external electric field will change the ions distribution in chemical solutions and cause obvious change in etching behavior. The laser etching in a H3PO4 solution can be enhanced by both positive and negative biases of the substrate. While etching in a KOH solution, a positive bias can enhance the etching reaction, whereas a negative bias can suppress the etching process. It is also found that the external electric field can always enhance the mass transfer between reaction products and fresh etchant in a H3PO4 solution. It is revealed that the supply of H+ ions contributes to the etching process in a H3PO4 solution, while the supply of OH ions contributes to the etching process in a KOH solution. The electric field can be used to control the etching process to achieve fast tuning and higher accuracy.  相似文献   

20.
Ar+ ion milling of InSb for manufacturing single electron devices was studied. It is shown that pyramidal structures (porous) are created on the (1 1 1) surface of InSb wafers by anisotropic etching. Also it was shown the axis of the pyramidal structure is a function of the angle of the Ar+ incident beam and does not depend on the energy of the beam. EDX measurement results show InxOy and SbxOy were not created on the surface after milling process. FTIR measurement results show that the surface reflection was decreased and less than 0.3 V flat band voltage was seen in capacitance voltage measurement results. SEM images show that the etching has approximately vertical profile. Therefore the Ar+ milling technique can be used as a dry etching technique for manufacturing mesa and/or porous structures of InSb. Since the surface is porous and of near-pyramidal morphology, one can simulate the surface by a set of needles each of which is a nanometer-size capacitance (i.e. single electron device). We showed, the threshold voltage of this single electron device is 0.3 V approximately, and therefore it can be used for studying single-electron or Coulomb blockade effects.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号