首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
In this work, new empirical equation describing the charged particles radiation track development against etching time and track longitudinal depth are presented. The equation involves four free fitting parameters. It is shown that this equation can reproduce tracks depth formed on the CR-39 by alpha particles at different energies and etching times. Parameters values obtained from experimental data can be used to predict etched track lengths at different energies and etching times. The empirical equation suggested is self consistent as far as reproducing all features of track depth development as a function of etching time and energy are concerned.  相似文献   

2.
We have observed three-dimensional sponge-like structures as well as strips of connecting pits on the surface of the LR 115 detector after etching, which can be confused with the small tracks formed after short etching time. We have employed an atomic force microscope (AFM) to study these “damages” as well as genuine alpha tracks for short etching time. It was found that while the track and damage openings could be similar in size and shape, the depths for the damages were consistently smaller. Therefore, the depth of the pits will serve as a clear criterion to differentiate between tracks and other damages. The ability to discriminate between genuine tracks from other damages is most important for etching for short time intervals.  相似文献   

3.
Swift heavy ion irradiation produces damage in polymers in the form of latent tracks. Latent tracks can be enlarged by etching it in a suitable etchant and thus nuclear track etch membrane can be formed for gas permeation / purification in particular for hydrogen where the molecular size is very small. By applying suitable and controlled etching conditions well defined tracks can be formed for specific applications of the membranes. After etching gas permeation method is used for characterizing the tracks. In the present work polycarbonate (PC) of various thickness were irradiated with energetic ion beam at Inter University Accelerator Centre (IUAC), New Delhi. Nuclear tracks were modified by etching the PC in 6N NaOH at 60 (±1) °C from both sides for different times to produce track etch membranes. At critical etch time the etched pits from both the sides meet a rapid increase in gas permeation was observed. Permeability of hydrogen and carbon dioxide has been measured in samples etched for different times. The latent tracks produced by SHI irradiation in the track etch membranes show enhancement of free volume of the polymer. Nano filters are separation devices for the mixture of gases, different ions in the solution and isotopes and isobars separations. The polymer thin films with controlled porosity finding it self as best choice. However, the permeability and selectivity of these polymer based membrane filters are very important at the nano scale separation. The Swift Heavy Ion (SHI) induced nuclear track etched polymeric films with controlled etching have been attempted and characterized as nano scale filters.   相似文献   

4.
Heavy ion recording in dielectric isotropic detectors has a wide range of applications in uranium cartography or dating. In this study, solid state nuclear tracks detectors (SSNTDs) have been applied to localise neptunium-237, in vivo, by means of the neutron-induced fission cartography of neptunium-237 nuclei in organ sections. At the cellular level, a precise localisation can only be achieved with a good understanding of the etch pit evolution during the chemical etching process.

A tailor-made software for modelling the etching process has been developed to simulate the profile of an etched track produced by a neutron-induced fission fragment. This software is based on a model that considers the evolution of the track etching velocity along the damage trail in order to perfectly model the shape of the track.  相似文献   


5.
In order to study alternative dose determination methods, the bulk etching velocity and the latent track annealing of LR 115 track detectors was studied during electron irradiation runs from a Pelletron accelerator. For this purpose alpha irradiated and blank detectors were exposed to increasing electron doses from 10.5 to 317.5 kGy. After the irradiation with electrons the detectors were etched under routine conditions, except for the etching time, that was varied for each electron dose in order to reach a fixed residual thickness. The variation of the bulk etching velocity as a function of each one of the electron doses supplied, was interpolated in order to obtain dosimetric response curves. The observed annealing effect on the latent tracks is discussed as a function of the total electron doses supplied and the temperature.  相似文献   

6.
The fission-track (FT) method is a dating technique based on the observation of damage (tracks) by spontaneous fission of 238U left in a mineral. The date is calculated from the track density and the uranium concentration in the mineral. This is possible because the number of tracks is a function of uranium concentration and time since the start of track accumulation. Usually, the number of tracks is counted under an optical microscope after etching (chemical expansion of a track). However, as FT density per unit area rises, it becomes difficult to count the number of tracks. This is due to the fact that FTs overlap one another and are unable to be readily distinguished. This research examines the potential of atomic force microscope (AFM) for FT dating using zircons, which are likely to show higher FT density than other minerals due to their high U concentrations.To obtain an AFM image for a sample prepared for FT dating, removing the static electricity of the sample is essential to avoid an unexpected movement of the cantilever. A grain should be wider than about 30 μm to bring the cantilever on the mineral surface. Polishing with a fine grained compound is very important. There is not much difference in sharpness between images by AC mode (scanning with vibrating cantilever at a constant cycle) and Contact mode (scanning with the cantilever always in close contact with the surface). To confirm how tracks can be identified with the AFM, an AFM image was compared with an image obtained with the optical microscope. When change in the number of tracks and their shapes were observed through stepwise etching, the track expanded as the etching time increased. In addition, the etching rate was slower for large tracks than those for small tracks. This implied that the AFM can be used to observe etching of zircons with different degrees of nuclear fission damage. A track that could not be seen with the optical microscope due to insufficient etching could be observed by AFM methods, indicating the possibility of FT dating with high track densities using AFM after relatively short etching periods.  相似文献   

7.
Studies on the shape of etched fission tracks in crystalline detectors show that the track geometry carries valuable information on the crystal structure of the detector involved. The geometry of the etched tracks in crystalline detectors is a prism formed of certain crystal planes. In mica detectors, for given etching conditions the type and number of the planes depend on the incident angle and the extent of the radiation damage along the track. In a single track the type of crystal planes forming the track prism may change along the track resulting in dramatic variations of the track geometry at certain depth of focus.  相似文献   

8.
The investigation of the track etching velocity dependence for nuclei with Z50 on pH of the etching solution in the olivine crystals from Marjalahti and Eagle Station meteorites, containing galactic cosmic-ray nuclear tracks and also the crystals from Marjalahti meteorite, calibrated at the UNILAC (GSI, Darmstadt) with 14.17 MeV/N 208Pb nuclei, were performed.

The pH of the etching solution were changed from 7.8 to 9.4. It is shown that the optimal track etch condition were realised at pH of etching solution in the interval from 8.4 to 8.8.

The results of the Galactic cosmic-ray nuclei tracks with Z83 revealed in olivine crystals are presented. They were additionally irradiated at 90% to the surface with accelerated 14 MeV/N 132Xe nuclei.  相似文献   


9.
10.
The technique of electrochemical etching of irradiated polymer films is an useful method to investigate structures of the track cores. In the case of the investigation of multiple track foils, the mean effective radius corresponds to the average of all synchron etching pores. On the other hand, the etching cones of all tracks do not break through to conducting micro channels coincidentally. The statistical character of this pore opening (break through) process is still unexplained, although several effects concerning this topic have been observed in the past. Another computer program simulates by way of the Monte Carlo Method the etching process of an ensemble of tracks within a thin polymer film. The conductivity of the multiple track etching foil can be described by the convolution between the conductivity of a single pore and the time dependent breakthrough rate. By way of the Laplace Transforms the measurements of the multiple and single track etching polymer films can be deconvoluted and yield the statistical nature of the pore opening process.  相似文献   

11.
Previously unknown features of etching of polyethylene terephthalate, latent tracks of multiply charged accelerated ions in it, and track membrane pore formation are considered. It was found that K ions (in the form of KCl salt) in a KOH solution enhance etching of both initial polyethylene terephthalate and tracks. Ba2+ ions enhancing etching of initial polymer significantly inhibit etching of tracks. It is assumed that etching inhibition is associated with Ba2+ ion adsorption on track active centers. The features detected are used to fabricate track membranes with thin selective layer.  相似文献   

12.
Our equation of the track wall was solved numerically by using finite difference method and computer software MATHEMATICA. This method was applied for alpha particle tracks in LR115 detector, assuming both directions of etching, from the top and bottom of the sensitive layer. The equation of the track wall etched in reverse direction was derived, and has the same form as one for direct etching, with some difference in argument of V function. We will analyse the consequences of direct and reverse etching on the shape of alpha particles tracks with energies ranging from 2 to 4 MeV in thin LR115 NTDs.  相似文献   

13.
The formation of damage tracks in insulators from the passage of energetic (MeV/amu) ions indicates that the energy lost by an ion to electronic excitation is partially transferred to atomic motion. It is known that a track consists of localized regions of extended defects that are separated by lengths that exhibit only point defects. The utility of tracks for selective detection of various types of ions arises because of preferential chemical etching along the track as compared to etching the bulk material. In this letter we propose a new model to explain both the localized damage regions and the preferential etching of damage tracks. The formation of each region of extended defects is initiated by the Auger decay of a vacancy produced in an inner electronic shell of an atom of the insulator by the incident ion. This decay produces an intense source of ionization within a small volume around the decaying atom, which causes decomposition of the material in a manner similar to that observed in pulsed laser irradiation. The resulting chemical or crystalline modification of the material is the latent track, which because of its changed structure can be preferentially etched.  相似文献   

14.
An experimental study of the mechanism for chemical etching of fission fragment tracks in the natural mineral fluorite is described. A systematic search showed that a 3:1 mixture by volume of sulphuric to hydrochloric acids was a most appropriate etchant. Experiments directed at determining the etching efficiency as a function of solvent temperature are also discussed. The preferential track etch ratioVt/Vg, the track length and the track density all depend upon the time and temperature of annealing.

It is variations of the fission track density in fluorite, with etching time and annealing temperature, which make possible an overall geophysical interpretation of annealing data for the mineral in terms of the paleoisotherm of its intrinsic fission track clock.

An interactive image analysis system INTIMAN, assembled for the swift and automatic readout of fission fragment track measurements, in both crystalline and non-crystalline materials, is described. Normal procedures for measuring and analyzing tracks are outlined.  相似文献   

15.
S M Farid 《Pramana》1985,25(1):29-41
The etch pit diameters of soda glass detector samples exposed to 54 132 Xe-ions of different energies are measured for different etching times after etching the detector in a ‘new etchant’ free of the adverse effect of the etch product layer. The dependence of track diameter on the energy and on the energy loss, dE/dx of 54 132 Xe-ion in soda glass has been presented. The energy resolution of soda glass and the critical angle for etching of fission fragment tracks in glass detectors have also been determined. The maximum etched track length of 54 132 Xe-ion in soda glass has been compared with the theoretical range. The effects of different annealing conditions on bulk etch rate of glass detector and on diameters of 54 132 Xe-ion tracks have been presented. Experimental results show that there is a decrease in track etch rate, etching efficiency and etchable range of 54 132 Xe-ions with annealing. The annealing of oblique tracks shows that the vertical tracks are more stable than the oblique tracks.  相似文献   

16.
Chemical etching characteristics of mica, Lexan and cellulose acetate have been studied for fission fragment tracks. Under suitable etching conditions a few critical etching parameters for these three track detectors have been determined. An empirical relationship between complete etching time and the etching temperature has been established.  相似文献   

17.
The developments of Atomic Force Microscopy (AFM) allow to investigated solid surfaces with a nanometer scale. These techniques are useful methods allowing direct observation of surface morphologies. Particularly in the nuclear track fields, they offer a new tool to give many new informations on track formation. In this paper we present the preliminary results of a new use of this technique to characterize continuously the formation of the revealed track in a cellulose nitrate detector (LR115) after an alpha particle irradiation. For that, a specific cell has been used to observe, by nano-observations, the evolution of track shapes simultaneously with chemical treatment. Thus, the track shape evolution has been studied; visualizing the evolution of the tracks in real time, in situ during the chemical etching process.  相似文献   

18.
It is shown that conical track etching is a much more complicated process than generally assumed. The choice of the corresponding parameters (i.e. the ratios of concentrations and diffusion coefficients of both etchant (e.g. NaOH) and stopping solutions (e.g. HCl) and the etching temperature) determines the ratio of polymer dissolution to etchant penetration. The latter value controls the counterplay of diffusion, etching, ionic conductivity, field emission and capacitive effects, which is decisive for both the final track shapes and their electronic properties. The stages of track evolution during etching under different conditions are outlined in detail. Both transparent conical nanopores and “funnel-type” tracks can be obtained, the latter consisting of a shorter cone and a residual latent track. Depending on the internal structure of that latent track segment, such funnel-type tracks either allow smooth transmission of the rectified currents or they emit unipolar current spikes. Not only the study of electronic properties of single ion tracks, but also of a multitude of tracks makes sense. Depending on the applied parameters, the individual track properties may either just add up, or new effects may be found that emerge from the interaction of the tracks among each other. This is preferentially the case for spike-emitting tracks, where effects such as phase-locked spike synchronization can be found as described by neural network theory.  相似文献   

19.
Polycarbonate films (thickness 18, 25 and 38 microm) were irradiated by a beam of 100MeV Ni7+ ion. The permeability for hydrogen and carbon dioxide was measured from both sides of membrane at increasing etching time. These membranes show larger permeability from the irradiation side, than the reverse side indicating the formation of conical tracks and asymmetrical membrane. The stopping range (Se) of 100MeV Ni7+ ion beam in polycarbonate is 22microm, for 18microm thick membrane the etching time at which the permeability increases rapidly is less than that of 38microm thick membrane, for both the gases. The difference in permeability from the two sides is attributed to the conical shape of the track generated by the ions. The controlled flow rate of the membrane leads to the design of a special type of gas filter.  相似文献   

20.
In this study, two factors which can influence fission track etching in apatite are considered: track segmentation (induced by thermal annealing) and variable radial etching speed (due to the reagent diffusion during the etching process).

During the latent track annealing, two distinguishable steps can be identified by measuring track lengths or diameters. A length reduction is firstly observed, followed by a segmentation process which leads to the emergence of disrupted regions (gaps).

At present time, electron microscopy studies on fission tracks in apatite show profiles which lead to hypotheses of a variable radial etching speed versus depth. These variations can be interpreted in terms of acid diffusion along the track. Moreover, the existence of several bulk etching speeds related to crystallographic orientation is approached.

Taking into account these different points, a software program, integrating parameters as original track orientation and depth, number of gaps, etc., is developed in order to model the track profile evolution during the etching process. Comparison with experiments in Durango apatite (Mexico) are also undertaken.  相似文献   


设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号