首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 156 毫秒
1.
高明  杜汇伟  杨洁  赵磊  徐静  马忠权 《中国物理 B》2017,26(4):45201-045201
The damage on the atomic bonding and electronic state in a SiO_x(1.4-2.3 nm)/c-Si(150 μm) interface has been investigated.This occurred in the process of depositing indium tin oxide(ITO) film onto the silicon substrate by magnetron sputtering.We observe that this damage is caused by energetic particles produced in the plasma(atoms,ions,and UV light).The passivation quality and the variation on interface states of the SiO_x/c-Si system were mainly studied by using effective minority carrier lifetime(τ_(eff)) measurement as a potential evaluation.The results showed that the samples' τ_(eff)was reduced by more than 90%after ITO formation,declined from 107 μs to 5 μs.Following vacuum annealing at 200 ℃,the τ_(eff) can be restored to 30 μs.The components of Si to O bonding states at the SiO_x/c-Si interface were analyzed by x-ray photoelectron spectroscopy(XPS) coupled with depth profiling.The amorphous phase of the SiO_x layer and the "atomistic interleaving structure" at the SiO_x/c-Si interface was observed by a transmission electron microscope(TEM).The chemical configuration of the Si-O fraction within the intermediate region is the main reason for inducing the variation of Si dangling bonds(or interface states) and effective minority carrier lifetime.After an appropriate annealing,the reduction of the Si dangling bonds between SiO_x and near the c-Si surface is helpful to improve the passivation effect.  相似文献   

2.
Tungsten oxide thin films were deposited on glass substrates by the magnetron sputtering of WO3 bulk at room temperature. The deposited films were annealed at different temperatures in air. The structural measurements indicate that the films annealed below 300℃ were amorphous, while the films annealed at 400℃ were mixed crystalline with hexagonal and triclinic phases of WO3. It was observed that the crystallization of the annealed films becomes more and more distinct with an increase in the annealing temperature. At 400℃, nanorod-like structures were observed on the film surface when the annealing time was increased from 60 min to 180 min. The presence of W=O stretching, W–O–W stretching, W–O–W bending and various lattice vibration modes were observed in Raman measurements. The optical absorption behaviors of the films in the range of 450–800 nm are very different with changing annealing temperatures from the room temperature to 400℃. After annealing at 400℃, the film becomes almost transparent. Increasing annealing time at 400℃ can lead to a small blue shift of the optical gap of the film.  相似文献   

3.
Amorphous Si02 films were fabricated on Si substrates by flame hydrolysis deposition as buffer layers applied in the planar optical waveguides. Then the Si wafers with the porous particles were put into electric furnace annealing at different temperatures for consolidation in air. The products were characterized by x-ray diffraction,x-ray photoelectron spectroscopy, atomic force microscopy, and variable angle spectroscopic ellipsometry. It was found that different structures at different annealing temperatures were obtained. When the annealing temperature arrives at 1400℃, SiO2 is continuous and dense and the refractive index at 1550nm is 1.4564, whichis highly desirable.  相似文献   

4.
Sandwiched structures (a-SiNx/a-Si/a-SiNx) have been fabricated by the plasma enhanced chemical vapour deposition technique. A Si nanocrystal (nc-Si) layer was formed by crystallization of an a-Si layer according to the constrained crystallization principle after quasi-static thermal annealing at 1100℃ for 30 min. Transmission electron microscopy (TEM) and Raman scattering spectroscopy clearly demonstrated that nc-Si grains were formed in the as-deposited a-Si layer after annealing. The density of nc-Si grains is about 1011cm-2 as shown by TEM photographs. Using capacitance-voltage (C-V) measurements we investigated the electrical characteristics of the sandwiched structures. The charge storage phenomenon of the nc-Si layer was observed from the shift of flat-band voltage (VFB) in C-V curves at a high frequency (1 MHz). We estimated the density of nc-Si grains to be about 1011cm-2 from the shift value of VFB, which is in agreement with the result of TEM photographs. At the same time, we found that the shift of VFB increased with the increase of the applied constant dc voltage or the thickness of the nc-Si layer.  相似文献   

5.
Structures of nanocrystalline-Si (nc-Si) sandwiched between two asymmetric ultrathin SiO2 layers were fabricated. The nc-Si (dot density of 10^11 cm^-2) was formed by decomposition of hydrogen-diluted silane and the ultrathin SiO2 layers (about 2 nm) were prepared by plasma oxidation at a lower temperature (250℃). The whole fabrication processes were completed in situ in a plasma-enhanced chemical vapour deposition system. By using the capacitance-voltage ( C-V) and conductance-voltage ( G-V) spectroscopy, we studied the electronic properties of the annealed samples. The experimental results show that there are distinct capacitance peaks and conductance plateau or peaks for annealed samples at room temperature, which can be explained by direct tunnelling of electrons into the nc-Si. At the same time, Coulomb blockade plays an important role in the electronic transport in the nc-Si. The effect of thermal annealing in N2 ambient on the electronic properties was studied and the results indicate that high temperature (1000℃) annealing can improve the size uniformity of the nc-Si prepared by decomposition of hydrogen-diluted silane.  相似文献   

6.
Ge+ ions are implanted into fused silica glass at room temperature and a fluence of 1×10 17 cm-2 . The as-implanted samples are annealed in O2, N2 and Ar atmospheres separately. Ge0 , GeO and GeO2 coexist in the as-implanted and annealed samples. Annealing in different atmospheres at 600℃ leads each composite to change its content. After annealing at 1000℃, there remains some amount of Ge 0 in the substrates. However, the content of Ge decreases due to out-diffusion. After annealing in N2 , Si–N composite is formed. The absorption peak of GeO appears at 240 nm after annealing in O2 atmosphere, and a new absorption peak occurs at 418 nm after annealing in N2 atmosphere, which is attributed to the Si–N composite. There is no absorption peak appearing after annealing in Ar atmosphere. Transmission electron microscopic images confirm the formation of Ge nanoparticles in the as-implanted sample and GeO 2 nanoparticles in the annealed sample. In the present study, the GeO content and the GeO2 content depend on annealing temperature and atmosphere. Three photoluminescence emission band peaks at 290, 385 and 415 nm appear after ion implantation and they become strong with the increase of annealing temperature below 700℃, and their photoluminescences recover to the values of as-grown samples after annealing at 700℃. Optical absorption and photoluminescence depend on the annealing temperature and atmosphere.  相似文献   

7.
Hydrogenated amorphous silicon (a-Si:H) films with high and same order of magnitude photosensitivity (-10^5) but different stability were prepared by using microwave electron cyclotron resonance chemical vapour deposition system under the different deposition conditions. It was proposed that there was no direct correlation between the photosensitivity and the hydrogen content (CH) as well as H-Si bonding configurations, but for the stability, they were the critical factors. The experimental results indicated that higher substrate temperature, hydrogen dilution ratio and lower deposition rate played an important role in improving the microstructure of a-Si:H films. We used hydrogen elimination model to explain our experimental results.  相似文献   

8.
The growth of the InAs film directly on the Si substrate deflected from the plane(100) at 4° towards(110) has been performed using a two-step procedure. The effect of the growth and annealing temperature on the electron mobility and surface topography has been investigated for a set of samples. The results show that the highest electron mobility is4640 cm~2/V·s in the sample, in which the 10-nm InAs nucleation layer is grown at a low temperature of 320 ℃ followed by ramping up to 560 ℃, and the nucleation layer was annealed for 15 min and the second layer of InAs is grown at 520 ℃.The influence of different buffer layers on the electron mobility of the samples has also been investigated, which shows that the highest electron mobility of 9222 cm~2/V·s at 300 K is obtained in the sample grown on a thick and linearly graded InGaAlAs metamorphic buffer layer deposited at 420 ℃.  相似文献   

9.
The effect of Ar pressure on the performance of W/Si multilayers is investigated. W/Si multilayers were deposited by a high vacuum DC magnetron sputtering system. The Ar pressure was changed from 1.0 to 5.0 mTorr with an interval of 1.0 mTorr during the deposition process. Electron probe microanalysis and Rutherford backscattering are performed to determine the Ar content incorporated within these multilayers. The results demonstrate that less Ar is incorporated within the sample when more Ar is used in the plasma, which could be explained by the increase of the collision probability and the decrease in the kinetic energy of Ar ions arriving at the substrate when more Ar exists. The grazing incident X-ray reflectivity (GIXR) at 0.154 nm is used to determine the structural parameters of the layers. The results show that the structures of these multilayers prepared at different Ar pressure are very similar and that the interface roughness increases quickly when the Ar pressure is higher than 3.0 mTorr. The measurements of the extreme ultraviolet (EUV) reflectivity indicate that the reflectivity decreases when Ar pressure increases. The fitting results of GIXR and EUV reflectivity curves indicate that with an increase of Ar pressure, the density and decrement of the refractive index are increased for W and decreased for Si, which is mainly due to (1) the decrease in Ar content incorporated within these multilayers which affects their performance and (2) the increase of collision probability for sputtered W and Si, the decrease of their average kinetic energy arriving at the substrate, and thus the loosing of their layers.  相似文献   

10.
A Raman frequency upshift of nc-Si phonon mode is observed at room temperature, which is attributed to a strong compressive stress in Si nanocrystals. The 10-period amorphous-Si(3 nm)/amorphous-SiO2 (3 nm) layers are deposited by high vacuum radio-frequency magnetron sputtering on quartz and sapphire substrates at different temperatures. The samples are then annealed in N2 atmosphere at 1100 ℃ for 1 h for Si crystallization. It is demonstrated that the presence of a supporting substrate at the high grown temperature can induce different types of stresses in the Si nanocrystal layers. The strain is attributed to the difference in thermal expansion coefficient between the substrate and the Si/SiO2 SL film. Such a substrate-induced stress indicates a new method to tune the optical and the electronic properties of Si nanocrystals for strained engineering.  相似文献   

11.
姜礼华  曾祥斌  张笑 《物理学报》2012,61(1):16803-016803
采用等离子增强化学气相沉积法, 以氨气和硅烷为反应气体, p型单晶硅为衬底, 低温下(200 ℃)制备了非化学计量比氮化硅(SiNx)薄膜. 在N2氛围中, 于500–1100 ℃范围内对薄膜进行热退火处理. 室温下分别使用Fourier变换红外吸收(FTIR)光谱技术和X射线光电子能谱(XPS)技术测量未退火以及退火处理后SiNx薄膜的Si–N, Si–H, N–H键键合结构和Si 2p, N 1s电子结合能以及薄膜内N和Si原子含量比值R的变化. 详细讨论了不同温度退火处理下SiNx薄膜的FTIR和XPS光谱演化同薄膜内Si, N, H原子间键合方式变化之间的关系. 通过分析FTIR和XPS光谱发现退火温度低于800 ℃时, SiNx薄膜内Si–H和N–H键断裂后主要形成Si–N键; 当退火温度高于800 ℃时薄膜内Si–H和N–H键断裂利于N元素逸出和Si纳米粒子的形成; 当退火温度达到1100 ℃时N2与SiNx薄膜产生化学反应导致薄膜内N和Si原子含量比值R增加. 这些结果有助于控制高温下SiNx薄膜可能产生的化学反应和优化SiNx薄膜内的Si纳米粒子制备参数. 关键词: x薄膜')" href="#">SiNx薄膜 Fourier变换红外吸收光谱 X射线光电子能谱 键合结构  相似文献   

12.
氮化硅介质中双层纳米硅薄膜的两级电荷存储   总被引:1,自引:0,他引:1       下载免费PDF全文
研究镶嵌在超薄非晶氮化硅(a-SiNx)层之间的双层纳米硅(nc-Si)的电荷存储现象.利用等离子体增强化学气相淀积(PECVD)技术在硅衬底上制备a-SiNx/a-Si/a-SiNx/a-Si/a-SiNx多层薄膜结构.采用常规热退火方法使非晶硅(a-Si)层晶化,形成包含双层nc-Si的金属-氮化物-半导体(MIS)结构.通过电容电压(C-V)特性测量,观测到该结构中由于电荷存储引起的C-V回滞现象,并在室温下成功观察到载流子基于Fowler-Nordheim(F-N)隧穿注入到第一层、第二层nc-Si的两级电荷存储状态.结合电流电压(I-V)特性的测量,对电荷存储的机理进行了深入分析. 关键词: 纳米硅 氮化硅 电容电压法 电流电压法  相似文献   

13.
a-Si/insulator multilayers have been deposited on (0 0 1) Si by electron gun Si evaporation and periodic electron cyclotron resonance plasma oxidation or nitridation. Exposure to an O or N plasma resulted in the formation of a thin SiO2 and SiNx layer whose thickness was self-limited and controlled by process parameters. For thin-layer (2 nm) Si/SiO2 and Si/SiNx multilayers no visible photoluminescence (PL) was observed in most samples, although all exhibited weak “blue” PL. For the nitride multilayers, annealing at 750°C or 850°C induced visible PL that varied in peak energy with Si layer thickness. Depth profiling of a-Si caps on thin insulating layers revealed no detectable contamination for the SiNx layers, but substantial O contamination for the SiO2 films.  相似文献   

14.
陈剑辉  杨静  沈艳娇  李锋  陈静伟  刘海旭  许颖  麦耀华 《物理学报》2015,64(19):198801-198801
在本征氢化非晶硅(a-Si:H(i))/晶体硅(c-Si)/a-Si:H(i)异质结构上溅射ITO时, 发现后退火可大幅增加ITO/a-Si:H(i)/c-Si/a-Si:H(i)的少子寿命(从1.7 ms到4 ms). 这一增强效应可能的三个原因是: ITO/a-Si:H(i)界面场效应作用、退火形成的表面反应层影响以及退火对a-Si:H(i)材料本身的优化, 但本文研究结果表明少子寿命增强效应与ITO和表面反应层无关; 对不同沉积温度制备的a-Si:H(i)/c-Si/a-Si:H(i)异质结后退火的研究表明: 较低的沉积温度(<175 ℃)后退火增强效应显著, 而较高的沉积温度(>200 ℃)后退火增强效应不明显, 可以确定“低温长高温后退火”是获得高质量钝化效果的一种有效方式; 采用傅里叶红外吸收谱(FTIR)研究不同沉积温度退火前后a-Si:H(i)材料本身的化学键构造, 发现退火后异质结少子寿命大幅提升是由于a-Si:H(i)材料本身的结构优化造成的, 其深层次的本质是通过材料的生长温度和退火温度的优化匹配来控制包括H含量、H键合情况以及Si原子无序性程度等微观因素主导作用的一种竞争性平衡, 对这一平衡点的最佳控制是少子寿命大幅提升的本质原因.  相似文献   

15.
马小凤  王懿喆  周呈悦 《物理学报》2011,60(6):68102-068102
利用等离子体增强化学气相沉积技术制备了a-Si ∶H/SiO2多量子阱结构材料.对a-Si ∶H/SiO2多量子阱样品分别进行了3种不同的热处理,其中样品经1100 ℃高温退火可获得尺寸可控的nc-Si:H/SiO2量子点超晶格结构,其尺寸与非晶硅子层厚度相当.比较了a-Si ∶H/SiO2多量子阱材料与相同制备工艺条件下a-Si ∶H材料的吸收系数,在紫外/可见短波段前者的吸收系数明显增大,光学吸收边蓝移,说明该材料 关键词: 多量子阱 量子限制效应 光学吸收 能带结构  相似文献   

16.
本文采用磁控溅射法, 衬底温度500 ℃下在硅衬底上分别制备具有Ge填埋层的a-Si/Ge 薄膜和a-Si薄膜, 并进行后续退火, 采用Raman光谱、X射线衍射、原子力显微镜及场发射扫描电镜等对所制薄膜样品进行结构表征. 结果表明, Ge有诱导非晶硅晶化的作用, 并得出以下重要结论: 衬底温度为500 ℃时生长的a-Si/Ge薄膜, 经600 ℃退火5 h Ge诱导非晶硅薄膜的晶化率为44%, 在相同的退火时间下退火温度提高到700 ℃, 晶化率达54%. 相同条件下, 无Ge填埋层的a-Si薄膜经800 ℃退火5 h薄膜实现晶化, 晶化率为46%. 通过Ge填埋层诱导晶化可使在相同的条件下生长的非晶硅晶薄膜的晶化温度降低约200 ℃. Ge诱导晶化多晶Si薄膜在Si(200)方向具有高度择优取向, 且在此方向对应的晶粒尺寸约为76 nm. 通过Ge诱导晶化制备多晶Si薄膜有望成为制备高质量多晶Si薄膜的一条有效途径.  相似文献   

17.
Structural and optical properties of Si/SiO2 multi-quantum wells (MQW) were investigated by means of Raman scattering and photoluminescence (PL) spectroscopy. The MQW structures were fabricated on a quartz substrate by remote plasma enhanced chemical vapour deposition (RPECVD) of alternating amorphous Si and SiO2 layers. After layer deposition the samples were subjected to heat treatments, i.e. rapid thermal annealing (RTA) and furnace annealing. Distinct PL signatures of confined carriers evidenced formation of Si-nanocrystals (nc-Si) in annealed samples. Analyses of Raman spectra also show presence of nc-Si phase along with amorphous-Si (a-Si) phase in the samples. The strong influence of the annealing parameters on the formation of nc-Si phase suggests broad possibilities in engineering MQW with various optical properties. Interestingly, conversion of the a-Si phase to the nc-Si phase saturates after certain time of furnace annealing. On the other hand, thinner Si layers showed a disproportionately lower crystalline volume fraction. From the obtained results we could assume that an interface strain prevents full crystallization of the Si layers and that the strain is larger for thinner Si layers. The anomalous dependence of nc-Si Raman scattering peak position on deposited layer thickness observed in our experiments also supports the above assumption.  相似文献   

18.
Koel Adhikary 《哲学杂志》2013,93(33):4075-4087
We report on the successful fabrication of polycrystalline silicon films by aluminium-induced crystallisation (AIC) of Radio frequency (rf) plasma-enhanced chemical vapour deposited (PECVD) a-Si films. The effects of annealing at different temperatures (300 and 400°C), below the eutectic temperature of the Si–Al binary system, on the crystallisation process have been studied. This work emphasises the important role of the position of the Al layer with respect to the Si layer on the crystallisation process. The properties of the crystallised films were characterised using X-ray diffraction, Raman spectroscopy, ellipsometry, field-emission scanning electron microscopy (FESEM) and atomic force microscopy (AFM). With an increase in the annealing temperature, it was found that the degree of crystallisation of annealed a-Si/Al and Al/a-Si films increased. The results showed that the arrangement where the Al was on top of the a-Si had a more prominent effect on crystallisation enhancement than when Al was below the a-Si. The interfacial layer between the Al and a-Si film is crucial because it influences the layer-exchange process during annealing. The oxide layer formed between the Al and the a-Si layers greatly retards the crystallisation process in the case of the Al/Si arrangement. Our investigations suggest that polycrystalline Si films formed by AIC can be used as a seed layer in solar cell fabrication.  相似文献   

19.
采用程序升温热解吸(TPD/TDS)方法对Li4SiO4陶瓷小球的水解吸行为进行了实验研究。结果表明:水解吸过程中主要存在四个解吸峰;其中100℃附近的峰可解释为物理吸附水;150,250,400℃附近的峰可分别解释为以氢键、Li-OH和Si-OH配位键形式存在的化学吸附水。氚的释放与水的解吸几乎同步进行,且氚的释放形式主要为氚水(HTO),据此推测,氚水可能存在三种释放机制:(1)-OT+H2O→-OH+HTO;(2)-OH+-OH→H2O,-OT+H2O→-OH+HTO;(3)-OT+-OH→HTO。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号