首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 343 毫秒
1.
Thermal stability, interfacial structures and electrical properties of amorphous (La2O3)0.5(SiO2)0.5 (LSO) films deposited by using pulsed laser deposition (PLD) on Si (1 0 0) and NH3 nitrided Si (1 0 0) substrates were comparatively investigated. The LSO films keep the amorphous state up to a high annealing temperature of 900 °C. HRTEM observations and XPS analyses showed that the surface nitridation of silicon wafer using NH3 can result in the formation of the passivation layer, which effectively suppresses the excessive growth of the interfacial layer between LSO film and silicon wafer after high-temperature annealing process. The Pt/LSO/nitrided Si capacitors annealed at high temperature exhibit smaller CET and EOT, a less flatband voltage shift, a negligible hysteresis loop, a smaller equivalent dielectric charge density, and a much lower gate leakage current density as compared with that of the Pt/LSO/Si capacitors without Si surface nitridation.  相似文献   

2.
Sandwich-structure Al2O3/HfO2/Al2O3 gate dielectric films were grown on ultra-thin silicon-on-insulator (SOI) substrates by vacuum electron beam evaporation (EB-PVD) method. AFM and TEM observations showed that the films remained amorphous even after post-annealing treatment at 950 °C with smooth surface and clean silicon interface. EDX- and XPS-analysis results revealed no silicate or silicide at the silicon interface. The equivalent oxide thickness was 3 nm and the dielectric constant was around 7.2, as determined by electrical measurements. A fixed charge density of 3 × 1010 cm−2 and a leakage current of 5 × 10−7A/cm2 at 2 V gate bias were achieved for Au/gate stack /Si/SiO2/Si/Au MIS capacitors. Post-annealing treatment was found to effectively reduce trap density, but increase in annealing temperature did not made any significant difference in the electrical performance.  相似文献   

3.
The CaCu3Ti4O12/SiO2/CaCu3Ti4O12 (CCTO/SiO2/CCTO) multilayered films were prepared on Pt/Ti/SiO2/Si substrates by pulsed laser deposition method. It has been demonstrated that the dielectric loss and the leakage current density were significantly reduced with the increase of the SiO2 layer thickness, accompanied with a decrease of the dielectric constant. The CCTO film with a 20 nm SiO2 layer showed a dielectric loss of 0.065 at 100 kHz and the leakage current density of 6×10−7 A/cm2 at 100 kV/cm, which were much lower than those of the single layer CCTO films. The improvement of the electric properties is ascribed to two reasons: one is the improved crystallinity; the other is the reduced free carriers in the multilayered films.  相似文献   

4.
M. Liu  G. He  Q. Fang  G.H. Li 《Applied Surface Science》2006,252(18):6206-6211
High-k HfO2-Al2O3 composite gate dielectric thin films on Si(1 0 0) have been deposited by means of magnetron sputtering. The microstructure and interfacial characteristics of the HfO2-Al2O3 films have been investigated by using X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR) and spectroscopic ellipsometry (SE). Analysis by XRD has confirmed that an amorphous structure of the HfO2-Al2O3 composite films is maintained up to an annealing temperature of 800 °C, which is much higher than that of pure HfO2 thin films. FTIR characterization indicates that the growth of the interfacial SiO2 layer is effectively suppressed when the annealing temperature is as low as 800 °C, which is also confirmed by spectroscopy ellipsometry measurement. These results clearly show that the crystallization temperature of the nanolaminate HfO2-Al2O3 composite films has been increased compared to pure HfO2 films. Al2O3 as a passivation barrier for HfO2 high-k dielectrics prevents oxygen diffusion and the interfacial layer growth effectively.  相似文献   

5.
We describe the structural properties and electrical characteristics of thin thulium oxide (Tm2O3) and thulium titanium oxide (Tm2Ti2O7) as gate dielectrics deposited on silicon substrates through reactive sputtering. The structural and morphological features of these films were explored by X-ray diffraction, X-ray photoelectron spectroscopy, secondary ion mass spectrometry, and atomic force microscopy, measurements. It is found that the Tm2Ti2O7 film annealed at 800 °C exhibited a thinner capacitance equivalent thickness of 19.8 Å, a lower interface trap density of 8.37 × 1011 eV−1 cm−2, and a smaller hysteresis voltage of ∼4 mV than the other conditions. We attribute this behavior to the Ti incorporated into the Tm2O3 film improving the interfacial layer and the surface roughness. This film also shows negligible degrees of charge trapping at high electric field stress.  相似文献   

6.
The reduction of complementary metal oxide semiconductor dimensions through transistor scaling is in part limited by the SiO2 dielectric layer thickness. Among the materials evaluated as alternative gate dielectrics one of the leading candidate is La2O3 due to its high permittivity and thermodynamic stability. However, during device processing, thermal annealing can promote deleterious interactions between the silicon substrate and the high-k dielectric degrading the desired oxide insulating properties.The possibility to grow poly-SiGe on top of La2O3//Si by laser assisted techniques therefore seems to be very attractive. Low thermal budget techniques such as pulsed laser deposition and crystallization can be a good choice to reduce possible interface modifications due to their localized and limited thermal effect.In this work the laser annealing by ArF excimer laser irradiation of amorphous SiGe grown on La2O3//Si has been analysed theoretically by a numerical model based on the heat conduction differential equation with the aim to control possible modifications at the La2O3//Si interface. Simulations have been carried out using different laser energy densities (0.26-0.58 J/cm2), different La2O3 film thickness (5-20 nm) and a 50 nm, 30 nm thick amorphous SiGe layer. The temperature distributions have been studied in both the two films and substrate, the melting depth and interfaces temperature have been evaluated. The fluences ranges for which the interfaces start to melt have been calculated for the different configurations.Thermal profiles and interfaces melting point have shown to be sensitive to the thickness of the La2O3 film, the thicker the film the lower the temperature at Si interface.Good agreement between theoretical and preliminary experimental data has been found.According to our results the oxide degradation is not expected during the laser crystallization of amorphous Si0.7Ge0.3 for the examined ranges of film thickness and fluences.  相似文献   

7.
La-doped HfO2 gate dielectric thin films have been deposited on Si substrates using La(acac)3 and Hf(acac)4 (acac = 2,4-pentanedionate) mixing sources by low-pressure metal-organic chemical vapor deposition (MOCVD). The structure, thermal stability, and electrical properties of La-doped HfO2 films have been investigated. Inductive coupled plasma analyses confirm that the La content ranging from 1 to 5 mol% is involved in the films. The films show smaller roughness of ∼0.5 nm and improved thermal stability up to 750 °C. The La-doped HfO2 films on Pt-coated Si and fused quartz substrates have an intrinsic dielectric constant of ∼28 at 1 MHz and a band gap of 5.6 eV, respectively. X-ray photoelectron spectroscopy analyses reveal that the interfacial layer is Hf-based silicate. The reliable value of equivalent oxide thickness (EOT) around 1.2 nm has been obtained, but with a large leakage current density of 3 A/cm2 at Vg = 1V + Vfb. MOCVD-derived La-doped HfO2 is demonstrated to be a potential high-k gate dielectric film for next generation metal oxide semiconductor field effect transistor applications.  相似文献   

8.
The authors report the fabrication of ZnO-based metal-oxide-semiconductor field effect transistors (MOSFETs) with a high quality SiO2 gate dielectric by photochemical vapor deposition (photo-CVD) on a sapphire substrate. Compared with ZnO-based metal-semiconductor FETs (MESFETs), it was found that the gate leakage current was decreased to more than two orders of magnitude by inserting the photo-CVD SiO2 gate dielectric between ZnO and gate metal. Besides, it was also found that the fabricated ZnO MOSFETs can achieve normal operation of FET, even operated at 150 °C. This could be attributed to the high quality of photo-CVD SiO2 layer. With a 2 μm gate length, the saturated Ids and maximum transconductance (Gm) were 61.1 mA/mm and 10.2 mS/mm for ZnO-based MOSFETs measured at room temperature, while 45.7 mA/mm and 7.67 mS/mm for that measured at 150 °C, respectively.  相似文献   

9.
The purpose of this paper is to report some experimental results with HfSiO films formed on silicon substrates by electron beam evaporation (EB-PVD) and annealed at different temperatures. The images of atomic force microscope (AFM) indicated that HfSiO film annealed at 900 °C was still amorphous, with a surface roughness of 0.173 nm. X-ray photoelectron spectroscopy (XPS) analysis revealed that the chemical composition of the film was (HfO2)3(SiO2) and Hf-Si-O bonds existed in the annealed film. Electrical measurements showed that the equivalent oxide thickness (EOT) was 4 nm, the dielectric constant was around 6, the breakdown voltage was 10 MV/cm, the fixed charge density was −1.2 × 1012 cm−2, and the leakage current was 0.4 μA/cm2 at the gate bias of 2 V for 6 nm HfSiO film. The annealing after deposition effectively reduced trapping density and the leakage current, and eliminated hysteresis in the C-V curves. Annealing also induced SiO2 growth at the interface.  相似文献   

10.
To meet challenges for a smaller transistor feature size, ultra-thin HfO2 high-k dielectric has been used to replace SiO2 for the gate dielectric. In order to accurately analyze the ultra-thin HfO2 films by grazing incidence X-ray reflectivity (GIXRR), an appropriate material model with a proper layer structure is required. However, the accurate model is difficult to obtain, since the interfaces between layers of the ultra-thin HfO2 films are not easily identified, especially when post-deposition annealing process is applied. In this paper, 3.0 nm HfO2 films were prepared by atomic layer deposition on p-type silicon wafer, and annealed in Ar environment with temperatures up to 1000 °C. The layer structures and the role of the interfacial layer of the films in the post-deposition annealing processes were evaluated by X-ray diffraction and X-ray photoelectron spectroscopy (XPS). The experimental results and analysis showed that layer thicknesses, crystal phases and chemical structures of the ultra-thin HfO2 films were significantly dependent on annealing temperatures. The binding energy shifts of Hf 4f, O 1s, and Si 2p elements revealed the formation of Hf silicate (Hf-O-Si bonding) with increasing annealing temperatures. Due to the silicate formation and increasing silicon oxide formation, the interface broadening is highly expected. The structure analysis of the GIXRR spectra using the modified material structure model from the XPS analysis confirmed the interfacial broadening induced by the post-deposition annealing.  相似文献   

11.
High-k gate dielectric HfO2 thin films have been deposited on Si(1 0 0) by using plasma oxidation of sputtered metallic Hf thin films. The optical and electrical properties in relation to postdeposition annealing temperatures are investigated by spectroscopic ellipsometry (SE) and capacitance-voltage (C-V) characteristics in detail. X-ray diffraction (XRD) measurement shows that the as-deposited HfO2 films are basically amorphous. Based on a parameterized Tauc-Lorentz dispersion mode, excellent agreement has been found between the experimental and the simulated spectra, and the optical constants of the as-deposited and annealed films related to the annealing temperature are systematically extracted. Increases in the refractive index n and extinction coefficient k, with increasing annealing temperature are observed due to the formation of more closely packed thin films and the enhancement of scattering effect in the targeted HfO2 film. Change of the complex dielectric function and reduction of optical band gap with an increase in annealing temperature are discussed. The extracted direct band gap related to the structure varies from 5.77, 5.65, and 5.56 eV for the as-deposited and annealed thin films at 700 and 800 °C, respectively. It has been found from the C-V measurement the decrease of accumulation capacitance values upon annealing, which can be contributed to the growth of the interfacial layer with lower dielectric constant upon postannealing. The flat-band voltage shifts negatively due to positive charge generated during postannealing.  相似文献   

12.
In this work, the influence of Si/SiO2 interface properties, interface nitridation and remote-plasma-assisted oxidation (RPAO) thickness (<1 nm), on electrical performance and TDDB characteristics of sub-2 nm stacked oxide/nitride gate dielectrics has been investigated using a constant voltage stress (CVS). It is demonstrated that interfacial plasma nitridation improves the breakdown and electrical characteristics. In the case of PMOSFETs stressed in accumulation, interface nitridation suppresses the hole traps at the Si/SiO2 interface evidenced by less negative Vt shifts. Interface nitridation also retards hole tunneling between the gate and drain, resulting in reduced off-state drain leakage. In addition, the RPAO thickness of stacked gate dielectrics shows a profound effect in device performance and TDDB reliability. Also, it is demonstrated that TDDB characteristics are improved for both PMOS and NMOS devices with the 0.6 nm-RPAO layer using Weibull analysis. The maximum operating voltage is projected to be improved by 0.3 V difference for a 10-year lifetime. However, physical breakdown mechanism and effective defect radius during stress appear to be independent of RPAO thickness from the observation of the Weibull slopes. A correlation between trap generation and dielectric thickness changes based on the C-V distortion and oxide thinning model is presented to clarify the trapping behavior in the RPAO and bulk nitride layer during CVS stress.  相似文献   

13.
Metallo-organic decomposition derived dielectric thin films of calcium zirconate doped with various concentrations of strontium ((Ca, Sr)ZrO3) were prepared on Pt coated silicon substrate. Mainly in this paper, we present the investigations of their structural developments and present their electric and dielectric properties as well. The structural developments show that the CaZrO3 film has amorphous structure with carbonate existing when annealed at 600 °C, while annealed at 650 °C and above, the carbonate is decomposed and those films crystallize into perovskite phase without preferred orientation. In addition, the prepared (Ca, Sr)ZrO3 films with their Zr-O bonds affected by strontium doping are homogenous and stable as solid solutions in any concentration of strontium and all Bragg diffraction characteristics for the films shift downward with the increase in the concentration of strontium. Moreover, the electric properties show that the (Ca, Sr)ZrO3 films have very low leakage current density and high breakdown strength; typically, the CaZrO3 film annealed at 650 °C has the leakage current density approximately 9.5 × 10−8 A cm−2 in the field strength of 2.6 MV cm−1. Furthermore, the dielectric properties show that their dielectric constants are higher than 12.8 with very little dispersion in the frequency range from 100 Hz to 1 MHz and are independent of applied dc bias as well. The dielectric properties, in combination with the electric properties, make the materials promising candidates for high-voltage and high-reliability capacitor applications.  相似文献   

14.
Titanium dioxide (TiO2) films have been deposited on glass and p-silicon (1 0 0) substrates by DC magnetron sputtering technique to investigate their structural, electrical and optical properties. The surface composition of the TiO2 films has been analyzed by X-ray photoelectron spectroscopy. The TiO2 films formed on unbiased substrates were amorphous. Application of negative bias voltage to the substrate transformed the amorphous TiO2 into polycrystalline as confirmed by Raman spectroscopic studies. Thin film capacitors with configuration of Al/TiO2/p-Si have been fabricated. The leakage current density of unbiased films was 1 × 10−6 A/cm2 at a gate bias voltage of 1.5 V and it was decreased to 1.41 × 10−7 A/cm2 with the increase of substrate bias voltage to −150 V owing to the increase in thickness of interfacial layer of SiO2. Dielectric properties and AC electrical conductivity of the films were studied at various frequencies for unbiased and biased at −150 V. The capacitance at 1 MHz for unbiased films was 2.42 × 10−10 F and it increased to 5.8 × 10−10 F in the films formed at substrate bias voltage of −150 V. Dielectric constant of TiO2 films were calculated from capacitance–voltage measurements at 1 MHz frequency. The dielectric constant of unbiased films was 6.2 while those formed at −150 V it increased to 19. The optical band gap of the films decreased from 3.50 to 3.42 eV with the increase of substrate bias voltage from 0 to −150 V.  相似文献   

15.
Amorphous and polycrystalline zirconium oxide thin films have been deposited by reactive rf magnetron sputtering in a mixed argon/oxygen or pure oxygen atmosphere with no intentional heating of the substrate. The films were characterized by high-resolution transmission electron microscopy (HR-TEM), atomic force microscopy (AFM), spectroscopic ellipsometry (SE), and capacitance versus voltage (C-V) measurements to investigate the variation of structure, surface morphology, thickness of SiO2-like interfacial layer as well as dielectric characteristics with different oxygen partial pressures. The films deposited at low oxygen partial pressures (less than 15%) are amorphous and dense with a smooth surface. In contrast, the films prepared at an oxygen partial pressure higher than 73% are crystallized with the microstructure changing from the mixture of monoclinic and tetragonal phases to a single monoclinic structure. The film structural transition is believed to be consequences of decrease in the oxygen vacancy concentration in the film and of increase of the energetically neutral particles in the plasma due to an increased oxygen partial pressure. SE measurements showed that significant interfacial SiO2 growth has taken place above approximately 51%. The best C-V results in terms of relative dielectric constant values are obtained for thin films prepared at an oxygen partial pressure of 15%.  相似文献   

16.
Amorphous Lu2O3 high-k gate dielectrics were grown directly on n-type (100) Si substrates by the pulsed laser deposition (PLD) technique. High-resolution transmission electron microscope (HRTEM) observation illustrated that the Lu2O3 film has amorphous structure and the interface with Si substrate is free from amorphous SiO2. An equivalent oxide thickness (EOT) of 1.1 nm with a leakage current density of 2.6×10−5 A/cm2 at 1 V accumulation bias was obtained for 4.5 nm thick Lu2O3 thin film deposited at room temperature followed by post-deposition anneal (PDA) at 600 °C in oxygen ambient. The effects of PDA process and light illumination were studied by capacitance-voltage (C-V) and current density-voltage (J-V) measurements. It was proposed that the net fixed charge density and leakage current density could be altered significantly depending on the post-annealing conditions and the capability of traps to trap and release charges.  相似文献   

17.
We have investigated cathodeluminescence (CL) of Ge implanted SiO2:Ge and GeO2:Ge films. The GeO2 films were grown by oxidation of Ge substrate at 550 °C for 3 h in O2 gas flow. The GeO2 films on Ge substrate and SiO2 films on Si substrate were implanted with Ge-negative ions. The implanted Ge atom concentrations in the films were ranging from 0.1 to 6.0 at%. To produce Ge nanoparticles the SiO2:Ge films were thermally annealed at various temperatures of 600-900 °C for 1 h in N2 gas flow. An XPS analysis has shown that the implanted Ge atoms were partly oxidized. CL was observed at wavelengths around 400 nm from the GeO2 films before and after Ge-implantation as well as from SiO2:Ge films. After Ge-implantation of about 0.5 at% the CL intensity has increased by about four times. However, the CL intensity from the GeO2:Ge films was several orders of magnitude smaller than the intensity from the 800 °C-annealed SiO2:Ge films with 0.5 at% of Ge atomic concentration. These results suggested that the luminescence was generated due to oxidation of Ge nanoparticles in the SiO2:Ge films.  相似文献   

18.
We report on Si nanodot formation by chemical vapor deposition (CVD) of ultrathin films and following oxidation. The film growth was carried out by hot-filament assisted CVD of CH3SiH3 and Dy(DPM)3 gas jets at the substrate temperature of 600 °C. The transmission electron microscopy observation and X-ray photoelectron spectroscopy analysis indicated that ∼35 nm Dy-doped amorphous silicon oxycarbide (SiCxOy) films were grown on Si(1 0 0). The Dy concentration was 10-20% throughout the film. By further oxidation at 860 °C, the smooth amorphous film was changed to a rough structure composed of crystalline Si nanodots surrounded by heavily Dy-doped SiO2.  相似文献   

19.
Hf-doped Ta2O5 thin films are studied with respect to their composition, dielectric and electrical properties. The incorporation of Hf is performed by sputtering of a 0.7 nm thick Hf layer on top of Ta2O5 and subsequent annealing to stimulate diffusion of Hf into Ta2O5 and their intermixing. The elemental in-depth distribution of the films is investigated by the time of flight secondary ion mass spectroscopy (ToF-SIMS), which has revealed that Hf and Ta2O5 are intermixed throughout the whole thickness. Two sub-layers exist in all the samples - an upper homogeneous Hf-doped Ta2O5 sub-layer and a near interfacial region which is a mixture of Ta- and Si-oxides. The X-ray reflectivity (XRR) analysis shows existence of interfacial layer with a thickness of about 1.9-2 nm, irrespectively of the total thickness of the stacks. Metal-oxide-Si structures with Ru and RuO2 metal electrodes have been prepared and investigated in terms of dielectric constant, effective work function (EWF) and interfacial layer parameters. The influence of post-metallization annealing steps on these parameters was also studied.  相似文献   

20.
The remote plasma nitridation (RPN) of an HfO2 film using N2 and NH3 has been investigated comparatively. X-ray photoelectron spectroscopy and Auger electron spectroscopy analyses after post-deposition annealing (PDA) at 700 °C show that a large amount of nitrogen is present in the bulk film as well as in the interfacial layer for the HfO2 film nitrided with NH3-RPN. It is also shown that the interfacial layer formed during RPN and PDA is a nitrogen-rich Hf-silicate. The C-V characteristics of an HfOxNy gate dielectric nitrided with NH3-RPN have a smaller equivalent oxide thickness than that nitrided with N2-RPN in spite of its thicker interfacial layer.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号