首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The damage characteristics of polyethylene terephthalate (PET) have been studied under bombardment by C60+, Au3+ and Au+ primary ions. The observed damage cross-sections for the three ion beams are not dramatically different. The secondary ion yields however were significantly enhanced by the polyatomic primary ions where the secondary ion yield of the [M + H]+ is on average 5× higher for C60+ than Au3+ and 8× higher for Au3+ than Au+. Damage accumulates under Au+ and Au3+ bombardment while C60+ bombardment shows a lack of damage accumulation throughout the depth profile of the PET thick film up to an ion dose of ∼1 × 1015 ions cm−2. These properties of C60+ bombardment suggest that the primary ion will be a useful molecular depth profiling tool.  相似文献   

2.
The reduction process of Bi3+, HTeO2+ and their mixtures on Au electrode surface was studied by cyclic voltammetry, linear sweep voltammetry, electrochemical impedance spectroscopy and chronoamperometry. XRD and EDS methods were also used to measure the reductive products prepared under different potentials and provide the evidences of the reactions. The results indicate that the reduction of HTeO2+ occurs at more positive potential than that of Bi3+, but its reduction rate is slower and adsorption phenomenon exists during its reduction process. Bi2Te3 compound can be obtained potentiostatically at a proper potential in all the mixed solutions with concentration ratio CHTe+O2/CBi3+ in our research range (0.1-10). But pure Bi2Te3 compound can only be obtained at 42 mV in the solution with concentration ratio CHTe+O2/CBi3+ equaling to 1. And the formation of Bi2Te3 compound is an inductive co-depositing process: (1) HTeO2+ + 4e + 3H+ → Te0 + 2H2O, (2) 3Te0 + 2Bi3+ + 6e → Bi2Te3.  相似文献   

3.
Molecular dynamics simulations of the 20-keV C60 bombardment at normal incidence of Si, SiC, diamond and graphite targets were performed. The unique feature of these targets is that strong covalent bonds can be formed between carbon atoms from the C60 projectile and atoms in the solid material. The mesoscale energy deposition footprint (MEDF) model is used to gain physical insight into how the sputtering yields depend on the substrate characteristics. A large proportion of the carbon atoms from the C60 projectile are implanted into the lattice structure of the target. The sputtering yield from SiC is ∼twice that from either diamond or Si and this can be explained by both the region of the energized cylindrical tract created by the impact and the number density. On graphite, the yield of sputtered atoms is negligible because the open lattice allows the cluster to deposit its energy deep within the solid. The simulations suggest that build up of carbon with a graphite-like structure would reduce any sputtering from a solid with C60+ bombardment.  相似文献   

4.
The effect of incident angle on the quality of SIMS molecular depth profiling using C60+ was investigated. Cholesterol films of ∼300 nm thickness on Si were employed as a model and were eroded using 40 keV C60+ at an incident angle of 40° and 73° with respect to the surface normal. The erosion process was characterized by determining at each angle the relative amount of chemical damage, the total sputtering yield of cholesterol molecules, and the interface width between the film and the Si substrate. The results show that there is less molecule damage at an angle of incidence of 73° and that the total sputtering yield is largest at an angle of incidence of 40°. The measurements suggest reduced damage is not necessarily dependent upon enhanced yields and that depositing the incident energy nearer the surface by using glancing angles is most important. The interface width parameter supports this idea by indicating that at the 73° incident angle, C60+ produces a smaller altered layer depth. Overall, the results show that 73° incidence is the better angle for molecular depth profiling using 40 keV C60+.  相似文献   

5.
This study deals with the secondary ion yield improvement induced by using C60+ primary ions instead of Ga+ ones to characterize human hair surfaces by ToF-SIMS. For that purpose, a bunch of hair fibres has been analysed with both ion sources. A high improvement is observed for the detection of amino acids with C60+ primary ions as compared to Ga+ ions. As an example, a yield enhancement factor greater than 3000 is found for the CNO peak. A similar gain is observed for the positive secondary ions characteristic of the amino acids. Most of the atomic ions, such as Ca+, O and S, constitute minor peaks with C60+ ions while they often dominate the spectrum in the case of Ga+ ions. However, with the C60+ source, a series of inorganic combination peaks with the elements Ca, S and O are observed in the positive spectra (i.e. HCaSO4+), while they are marginal with the Ga+ source. For the mass range beyond 100 m/z and in both polarities, the hair fingerprints are similar with both sources. In average, for a comparable number of primary ions per spectrum, the C60+ ion source gives intensities between two and three orders of magnitude higher than the Ga+ one.  相似文献   

6.
Effects of platinum silicon, graphite and PET substrates on the secondary ion yield of sub-monolayer and multilayer samples of Cyclosporin A following 20 keV Au+, Au3+and C60+ impacts have been investigated. The obtained results of sub-monolayer samples show that platinum enhances the yield of the pseudo-molecular ion following Au+ and Au3+ impacts due to the high density of the substrate that enables the energy of the primary ions to be deposited near the surface. C60+ impacts on sub-monolayer samples are less effective, but there is an enhancement on PET substrates. Impacts of 20 keV Au+ and Au3+ are not very efficient on multilayer samples. 20 keV C60+ impacts enhance the yields significantly, especially for the relatively high molecular weight [M+H]+ ion.  相似文献   

7.
Sputtering of organic materials using a C60 primary ion beam has been demonstrated to produce significantly less accumulated damage compared to sputtering with monatomic and atomic-cluster ion beams. However, much about the dynamics of C60 sputtering remains to be understood. We introduce data regarding the dynamics of C60 sputtering by evaluating TOF-SIMS depth profiles of bulk poly(methyl methacrylate) (PMMA). Bulk PMMA provides an ideal test matrix with which to probe C60 sputter dynamics because there is a region of steady-state secondary ion yield followed by irreversible signal degradation. C60 sputtering of PMMA is evaluated as a function of incident ion kinetic energy using 10 keV C60+, 20 keV C60+ and 40 keV C60++ primary ions. Changes in PMMA chemistry, carbon accumulation and graphitization, and topography as a function of total C60 ion dose at each accelerating potential is addressed.  相似文献   

8.
In secondary ion mass spectrometry, polyatomic primary ion sources are known to enhance yields from many surfaces including polymers. In order to understand the fundamental causes for these increases, the enhancement as a function of material type and molecular weight needs to be delineated. In this article, we report results from a systematic investigation of polymeric films of polystyrene (PS) with varying molecular weights to examine the influence of the primary ion beam on the secondary ion yields in time of flight secondary ion mass spectrometry (ToF-SIMS). The masses of the polymers investigated ranged from 1000 to 20,000 Da, or from about n = 10 to 200 where n indicates the number of polymeric units in a polymer chain. The polymers had a narrow molecular weight range (PDI < 1.07). The multilayer polymeric films (10-30 nm) characterized by AFM were prepared by spin-casting onto silicon substrates and were analyzed using Au+ and C60+ primary ion beams. The analysis with the two beams provided a useful comparison between atomic and polyatomic primary ion sources. Information gathered from this study provides insight into the role of molecular weight on the observed yield enhancement from polyatomic ion sources.  相似文献   

9.
The profile of the energy deposition footprint is controlled during the C60+ erosion of Si surfaces by varying the incident energy and/or incident angle geometry. Sputter yield, surface topography, and chemical composition of the eroded surfaces were characterized using atomic force microscopy (AFM) and secondary ion mass spectrometry (SIMS). The experiments show that the 10 keV, 40° incident C60+ erosion of Si results in the formation of a C containing, mound-like structure on the solid surface. We find that the occurrence of this C feature can be avoided by increasing the incident energy of the C60+ projectile or by increasing the incident angle of the C60+ projectile. While both strategies allow for the Si samples to be eroded, the occurrence of topographical roughening limits the usefulness of C60+ in ultra-high resolution semiconductor depth profiling. Moreover, we find that the relative effect of changing the incident angle geometry of the C60+ projectile on the profile of the energy deposition footprint, and thus the sputter yield, changes according to the kinetic energy of the projectile and the material of the bombarded surface, a behavior that is quite different than what is observed for an atomic counterpart.  相似文献   

10.
In the present paper, phosphors with the composition Y3−x−yAl5O12:Bi3+x, Dy3+y were synthesized with solid state reactions. The luminescence properties of Bi3+ and Dy3+ in Y3Al5O12(YAG) and the energy transfer from Bi3+ to Dy3+ were investigated in detail. Bi3+ in YAG emits one broad band peaking at 304 nm which can be ascribed to the transition from excited states 3P0, 1 to ground state 1S0. Dy3+ in YAG emits two groups of peaks around 484 and 583 nm, respectively, which can be ascribed to the transitions from excited state 4F9/2 to ground states 6H15/2 and 6H13/2. The co-doping of Bi3+ enhances the luminescent intensity of Dy3+ by ∼7 times because Bi3+ can transfer the absorbed energy to Dy3+ efficiently. The mechanism of energy transfer was also discussed.  相似文献   

11.
The potential energy surface and dipole moment surfaces of the ã4A2 electronic state of CH2+ are calculated ab initio using an augmented correlation-consistent polarized valence quadruple-ζ (aug-cc-pVQZ) basis set, with the incorporation of dynamical correlation using the coupled cluster method with single and double excitations and perturbatively connected triple excitations [CCSD(T)]. We use these surfaces in the MORBID program system to calculate rotation and rotation-vibration term values for ã-state CH2+, CD+2, and CHD+ and to simulate the rotation and rotation-vibration absorption spectrum of CH2+ in the ã4A2 electronic state. Our work is motivated by studies of CH2+ that use the Coulomb explosion imaging technique and by the goal of predicting spectra that may be obtained from discharge sources. Although the ã state is the lowest-lying excited state above the X?/Ã ground state pair, it turns out to be relatively high-lying, and we determine that Te(ã)=30447.5 cm−1. The equilibrium bond angle for ã-state CH2+ is only 77.1°; as a result the asymmetric top κ value is close to 0, and the molecule is equally far from the oblate and prolate symmetric top limits in this electronic state.  相似文献   

12.
Rotationally resolved electronic spectrum of the origin band in the 2A″-X2A″ transition of a nonlinear carbon chain radical C6H4+ has been recorded in the 604 nm region using cw cavity ring down spectroscopy. The radical was produced by a discharge through an acetylene-helium mixture in a supersonic planar expansion. The rotational structure has been analysed and precisely determined. A band having a-type prolate rotational structure has also been observed near 581 nm. By considering the results of ab initio calculations this band is assigned to a transition involving the excitation of the ν12 fundamental in the upper 2A″ electronic state of the same C6H4+ isomer.  相似文献   

13.
The secondary ion mass spectrum of silicon sputtered by high energy C60+ ions in sputter equilibrium is found to be dominated by Si clusters and we report the relative yields of Sim+ (1 ≤ m ≤ 15) and various SimCn+ clusters (1 ≤ m ≤ 11 for n = 1; 1 ≤ m ≤ 6 for n = 2; 1 ≤ m ≤ 4 for n = 3). The yields of Sim+ clusters up to Si7+ are significant (between 0.1 and 0.6 of the Si+ yield) with even numbered clusters Si4+ and Si6+ having the highest probability of formation. The abundances of cluster ions between Si8+ and Si11+ are still significant (>1% relative to Si+) but drop by a factor of ∼100 between Si11+ and Si13+. The probability of formation of clusters Si13+-Si15+ is approximately constant at ∼5 × 10−4 relative to Si+ and rising a little for Si15+, but clusters beyond Si15 are not detected (Sim≥16+/Si+ < 1 × 10−4). The probability of formation of Sim+ and SimCn+ clusters depends only very weakly on the C60+ primary ion energy between 13.5 keV and 37.5 keV. The behaviour of Sim+ and SimCn+ cluster ions was also investigated for impacts onto a fresh Si surface to study the effects that saturation of the surface with C60+ in reaching sputter equilibrium may have had on the measured abundances. By comparison, there are very minor amounts of pure Sim+ clusters produced during C60+ sputtering of silica (SiO2) and various silicate minerals. The abundances for clusters heavier than Si2+ are very small compared to the case where Si is the target.The data reported here suggest that Sim+ and SimCn+ cluster abundances may be consistent in a qualitative way with theoretical modelling by others which predicts each carbon atom to bind with 3-4 Si atoms in the sample. This experimental data may now be used to improve theoretical modelling.  相似文献   

14.
Pristine and Au-covered molecular films have been analyzed by ToF-SIMS (TRIFT™), using 15 keV Ga+ (FEI) and 15 keV C60+ (Ionoptika) primary ion sources. The use of C60+ leads to an enormous yield enhancement for gold clusters, especially when the amount of gold is low (2 nmol/cm2), i.e. a situation of relatively small nanoparticles well separated in space. It also allows us to extend significantly the traditional mass range of static SIMS. Under 15 keV C60+ ion bombardment, a series of clusters up to a mass of about 20,000 Da (Au100: 19,700 Da) is detected. This large yield increase is attributed to the hydrocarbon matrix (low-atomic mass), because the yield increase observed for thick metallic films (Ag, Au) is much lower. The additional yield enhancement factors provided by the Au metallization procedure for organic ions (MetA-SIMS) have been measured under C60+ bombardment. They reach a factor of 2 for the molecular ion and almost an order of magnitude for Irganox fragments such as C4H9+, C15H23O+ and C16H23O.  相似文献   

15.
A quartz crystal microbalance (QCM) has been used to determine total-mass sputtering yields of PMMA films by 1-16 keV C60+,2+ ion beams. Quantitative sputtering yields for PMMA are presented as mass loss per incident ion Ym. Mass-lost rate QCM data show that a 13 keV C60 cluster leads to emission equivalent to 800 PMMA molecules per ion. The power law obtained for the increase in sputtering yield with primary ion energy is in good agreement those predicted by “thermal spike” regime and MD models, when crater sizes are used to estimate sputtering.  相似文献   

16.
Time of flight secondary ion mass spectrometry (ToF-SIMS) depth profiles of several inorganic layered samples using Cs+ and C60+ primary sputtering ions of different energies are compared to evaluate sputter yield and depth resolution. A gold/silicon model system is employed to study interfaces between metals and semiconductors, and multilayers of AlGaAs, Al, and InAs in GaAs are analyzed to explore the ability of C60+ to analyze semiconductor interfaces in GaAs. Roughness measurements are reported to differentiate between different factors affecting depth resolution. The best depth resolution from all samples analyzed is achieved using 1 keV Cs+. However, C60+ sputtering has advantages for analyzing conductor/insulator interfaces because of its high sputter yield, and for analyzing deeper heterolayers in GaAs due to lower sputter-induced roughness.  相似文献   

17.
The effect of N2 treatment on the photocatalytic activity of Pt0/TiO2 was investigated. The results showed that after treatment at 500 °C in N2, 70% of the photocatalytic activity of 1.0 wt.% Pt0/TiO2 was lost by the evaluation of photocatalytic oxidation reaction of C3H6. Transmission electron microscopy (TEM) and Ar+ ion sputtering tests revealed that in the course of high-temperature N2 treatment, the size of Pt0 particles on TiO2 increases and a strong interaction between metal and support, i.e. Pt0 particles encapsulated by TixOy, happens, which are the reasons for the deactivation of Pt0/TiO2 photocatalyst treated by high-temperature N2.  相似文献   

18.
Organic phases trapped inside natural mineral samples are of considerable interest in astrobiology, geochemistry and geobiology. Examples of such organic phases are microfossils, kerogen and oil. Information about these phases is usually retrieved through bulk crushing of the rock which means both a risk of contamination and that the composition and spatial distribution of the organics to its host mineral is lost. An attractive of way to retrieve information about the organics in the rock is depth profiling using a focused ion beam. Recently, it was shown that it is possible to obtain detailed mass spectrometric information from oil-bearing fluid inclusions, i.e. small amounts of oil trapped inside a mineral matrix, using ToF-SIMS. Using a 10 keV C60+ sputter beam and a 25 keV Bi3+ analysis beam, oil-bearing inclusions in different minerals were opened and analysed individually. However, sputtering with a C60+ beam also induced other changes to the mineral surface, such as formation of topographic features and carbon deposition. In this paper, the cause of these changes is explored and the consequences of the sputter-induced features on the analysis of organic phases in natural mineral samples (quartz, calcite and fluorite) in general and fluid inclusions in particular are discussed.The dominating topographical features that were observed when a several micrometers deep crater is sputtered with 10 keV C60+ ions on a natural mineral surface are conical-shaped and ridge-like structures that may rise several micrometers, pointing in the direction of the incident C60+ ion beam, on an otherwise flat crater bottom. The sputter-induced structures were found to appear at places with different chemistry than the host mineral, including other minerals phases and fluid inclusions, while structural defects in the host material, such as polishing marks or scratches, did not necessarily result in sputter-induced structures. The ridge-like structures were often covered by a thick layer of deposited carbon.Despite the appearance of the sputter-induced structures and carbon deposition, most oil-bearing inclusions could successfully be opened and analysed. However, smaller inclusion (<15 μm) could potentially become entirely covered by sputter-resistant structures and therefore difficult to open. Therefore, it might become necessary, to for example increase the ion energy and rotate the stage to successfully open smaller inclusions for analysis.SIMS, C60, carbon deposition, topography, mineral, fluid inclusions, geological samples, depth profiling.  相似文献   

19.
Gd2O3:Sm3+ and Gd2O3:Sm3+,Bi3+ powders were prepared by a combustion method. Their structures were determined using X-ray diffraction. UV-visible absorption and photoluminescence spectra were investigated for Gd2O3:Sm3+ and Gd2O3:Sm3+,Bi3+ at different annealing temperatures and different doping concentrations. The emission spectra of all samples presented the characteristic emission narrow lines arising from the 4G5/26HJ transitions (J=5/2, 7/2, and 9/2) of Sm3+ ions upon excitation with UV irradiation. The emission intensity of Sm3+ ions was largely enhanced with introducing Bi3+ ions into Gd2O3:Sm3+ and the maximum occurred at a Bi3+ concentration of 0.5 mol%. The relevant mechanisms were discussed with the sensitization theory by Dexter and the aggregation behavior of Bi3+ ions.  相似文献   

20.
The prospects for SIMS three-dimensional analysis of biological materials were explored using model multilayer structures. The samples were analyzed in a ToF-SIMS spectrometer equipped with a 20 keV buckminsterfullerene (C60+) ion source. Molecular depth information was acquired using a C60+ ion beam to etch through the multilayer structures at specified time intervals. Subsequent to each individual erosion cycle, static SIMS spectra were recorded using a pulsed C60+ ion probe. Molecular intensities in sequential mass spectra were monitored as a function of primary ion fluence. The resulting depth information was used to characterize C60+ bombardment of biological materials. Specifically, molecular depth profile studies involving dehydrated dipalmitoyl-phosphatidylcholine (DPPC) organic films indicate that cell membrane lipid materials do not experience significant chemical damage when bombarded with C60+ ion fluences greater than 1015 ions/cm2. Moreover, depth profile analyses of DPPC-sucrose frozen multilayer structures suggest that biomolecule information can be uncovered after the C60+ sputter removal of a 20 nm overlayer with no appreciable loss of underlying molecular signal. The experimental results support the potential for three-dimensional molecular mapping of biological materials using cluster SIMS.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号