首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 593 毫秒
1.
A parametric study of the etching of Si and SiO2 by reactive ion etching (RIE) was carried out to gain a better understanding of the etching mechanisms. The following fluorocarbons (FCs) were used in order to study the effect of the F-to-Cl atom ratio in the parent molecule to the plasma and the etching properties: CF4, CF3Cl, CF2Cl2, and CFCl3 (FC-14, FC-13, FC-12, and FC-11 respectively). The Si etch rate uniformity across the wafer as a function of the temperature of the wafer and the Si load, the optical emission as a function of the temperature of the load, the etch rate of SiO2 as a function of the sheath voltage, and the mass spectra for each of the FCs were measured. The temperature of the wafer and that of the surrounding Si load strongly influence the etch rate of Si, the uniformity of etching, and the optical emission of F, Cl, and CF2. The activation energy for the etching reaction of Si during CF4 RIE was measured. The etch rate of Si depends more strongly on the gas composition than on the sheath voltage; it seems to be dominated by ion-assisted chemical etching. The etching of photoresist shifted from chemical etching to ion-assisted chemical etching as a function of the F-to-Cl ratio and the sheath voltage. The etch rate of SiO2 depended more strongly on the sheath voltage than on the F-to-Cl ratio.  相似文献   

2.
Ernission from the plasma species CCl, CN, CO, N2, and Si was monitored during trench etching of silicon with a CHCl,3/ N, chemistry. The temperature of the backside of the wafer was recorded simultaneously. The emission response to experimentally induced perturbations of the plasma was found to be particularly informative. One such perturbation was a sudden change of the wafer temperature through control of the He pressure under the wafer. The other perturbation involved a drastic change of the N2, flow rate. Our results confirm the mechanism of control of the trench profile through the temperature-dependent rate of deposition of polymers on the sidewalls during etch. Further, N2, which certainly plays a crucial role in this chemistry, may engage in a surface reaction producing CN radicals; our data are consistent with this surface reaction. Finally, an algorithm was constructed for real-time monitoring of the selectivity of .silicon to the oxide mask; selectivity is shown to be very sensitive to the presence of N2.  相似文献   

3.
Emission from the plasma species CCI, CN, CO, N2, and Si teas monitored during trench etching of silicon with a CHCl3/ N, chernistrv. The temperature of the backside of the wafer was recorded simultaneously. The emission response to experimentally induced perturbations of the plasma was found to be particularly informative. One such perturbation teas a sudden change of the wafer temperature through control of the He pressure under the wafer. The other perturbation involved a drastic change of the N2 flow rate. Our results confirm the mechanism of control of the trench profile through the temperature-dependent rate of deposition of polymers on the sidewalls during etch. Further, N2, which certainly plays a crucial role in this chemistry, may engage in a surlàce reaction producing CN radicals; our data are consistent with this surlàce reaction. Finally, an algorithm tvas constructed for real-time monitoring of the selectivity of .silicon to the oxide mask; selectivity is shown to be very sensitive to the presence of N2.  相似文献   

4.
Fluorocarbon-based chemistries were used to study the effect of wafer temperature on the etch of high aspect ratio hardmasks composed of SiO2 and SiNx layers. It is found that etch stop can occur easily at high temperature. The rate of polymer deposition plays an important role in etch stop. The etching rates were found to be inversely proportional to the wafer temperature. Such a relation indicates a negative activation energy in the rate expression of hardmask etching using fluorocarbon plasma. It also implies that in hardmask etching, complicated gas-surface, but not simple one-step, reactions are involved. Different wafer surface temperature can provide different degree of activation for etching reactions. Analysis of etching rate and optical emission trends indicates that CFx may contribute more than F does in the etch of SiO2 and SiNx, since polymer-rich etching chemistries were used. Based on the temperature-dependent etching rate, we propose a reaction mechanism for the reaction trends observed in hardmask etching.  相似文献   

5.
The drift of TEOS etch rate has been observed during MERIE oxide etch for the damascene process. The etch rate typically fluctuates between 5300 Å/min and 6000 Å/min. Studies using fluorocarbon-based chemistry show a normal TEOS etch rate when the chamber wall is heavily coated with polymer deposition. On the other hand, a lower etch rate appears when the chamber has less deposition. Hysteresis behavior has been observed during the etch rate of TEOS, as well as emission intensity trends of F, CF x (x=1~3), and SiF. From the observed emission intensity variation of F, CF x , and SiF, a model is proposed to explain the impact of chamber wall polymer deposition on the etch rate of TEOS. This model includes a mechanism of etch rate enhancement by embedding oxygen in the chamber wall polymer. From the correlation between etch rate and emission intensity, it clearly shows that F is directly responsible for the etch of TEOS. Compared to F, CF x plasma chemistry has a closer link to chamber wall polymer formation, but contributes less in the etch of TEOS.  相似文献   

6.
Jörg Acker  Antje Henßge 《Talanta》2007,72(4):1540-1545
The processing of silicon in microelectronics and photovoltaics involves the isotropic chemical etching using HF-HNO3 mixtures to clean the surface from contaminations, to remove the saw damage, as well as to polish or to texture the wafer surface. Key element of an effective etch process control is the knowledge of the actual etch bath composition in order to maintain a certain etch rate by replenishment of the consumed acids. The present paper describes a methods for the total analysis of the etch bath constituents HF, HNO3, and H2SiF6 by ion chromatography. First step is the measurement of the total fluoride and nitrate content in the analyte. In a second step, H2SiF6 is precipitated as K2SiF6. After careful filtration of the precipitate, the fluoride concentration in the filtrate is measured and the content of free HF is calculated therefrom. The K2SiF6 is dissolved again and the fluoride content measured and recalculated as H2SiF6. The results obtained with the presented method are discussed with respect to the results from two other, previously published methods, based on a titration using methanolic cyclohexylamine solution as titrant and based on a method using a fluoride ion selective electrode (F-ISE). An evaluation with respect to the needs for an industrial application is given.  相似文献   

7.
Henssge A  Acker J  Müller C 《Talanta》2006,68(3):581-585
The wet chemical etching of silicon by concentrated HF-HNO3 mixtures in solar and semiconductor wafer fabrication requires the strict control of the etching conditions. Surface morphology and etch rates are mainly affected by the amount of dissolved silicon, that is continuously enriched in the etching solution with each etching run. A fast and robust method for the titrimetric determination of the total dissolved silicon content out of the concentrated etching solution is presented. This method is based on the difference between the two equivalence points of the total amount of acid and the hydrolysis of the hexafluorosilicic anion. This approach allows a silicon determination directly from the etching process in spite of the presence of dissolved nitric oxides in the etching solution. The influences of different acid mixing ratios and of the etching solution density depending on the silicon content is considered and discussed in detail.  相似文献   

8.
Effects of radio frequency (RF) source power (plasma density) on silicon carbide etching are examined with variations in RF bias power, pressure, O2 fraction, and gap spacing. The etching was conducted in a C2F6 inductively coupled plasma. Depending on parameters or plasma conditions, the etch rate varied quite differently. When the source power was varied, the bias power (ion energy) was strongly involved in determining the relative variation in the etch rate. Complex interactions between the parameters were ascertained by means of a predictive model. The model was obtained by using a neural network in conjunction with a 25 full factorial experiment. Model behaviors were consistent with experimental ones. By correlating the etch rate to the DC bias, it was identified that the source power effect on the etch rate is significantly enhanced as the DC bias is maintained at relatively low values.  相似文献   

9.
Photoluminescence (PL) dependence was investigated by imposing cathodic and anodic bias for ZnGa2O4, ZnGa2O4:Mn and ZnGa2O4:Cr n-type semiconductor electrodes. Under the cathodic bias PL intensity was weak at about 1/3 times compared with imposing no bias, while under the anodic bias the intensity was strong at about 2 times maximally by using the ZnGa2O4:Mn and ZnGa2O4:Cr electrodes although no change about the intensity was observed by using the ZnGa2O4 electrode. These results suggest that the emission attributed to recombination between electrons and holes is decreased by flow of cathodic current under the cathodic bias while the emission is increased to decrease at non-radiative transition rates under the anodic bias when the energy relaxation occurs.  相似文献   

10.
For low-pressure, high-density plasma systems, etch products can play a significant role in affecting plasma parameters such a.s species concentration and electron temperature. The residence time of etch products in the chamber can he long, hence depleting the concentration of the reactants, and leading to a decrease in etch rate. We use a spatially averaged global model including both gas phase and surface chemistry to study Cl2 etching of polvsilicon. Etch products leaving the wafer surface are assioned to he SiCL2 and SiCl4. These species can be fragmented and ionized by collisions with energetic electrons, generating neutral and charged SiCl, products (x=0–4). Two limiting cases of the etch mechanism are found. an ion flux-limited regime and a neutral reactant-limited regime. The high degree of dissociation in high-density plasmas leads to the formation of elemental silicon, which can deposit on the chamber walls and wafer surface. We include surface models for both the wall and the wafer to better understand the role of etch products as a function of flowrate, pressure, and input pwer. A phenomenological model for the surface chemistry is based on available experimental data. We consider the two limiting conditions of nonreactive and reactive walls. These models are perfectly reflective walls, where all silicon-containing species are reflected; and reactive walls, which act as reactive sites for the formation of SiCl2 and SiCl4 etch products. The two limiting conditions give significantly different results. A decrease in the absolute atomic silicon density and a weaker dependence of etch rate on flowrate are observed for the reactive wall.  相似文献   

11.
Antje Henßge  Jörg Acker 《Talanta》2007,73(2):220-226
The chemical etching of silicon using HF-HNO3 mixtures is a widely used process in the processing of silicon wafers for microelectronic or photovoltaic applications. The control of the etch bath composition is the necessary condition for an effective bath utilization, for the replenishment of the consumed acids, and to maintain a certain etch rate. The present paper describes two methods for the total analysis of the individual etch bath constituents HF, HNO3, and H2SiF6. Both methods start with an aqueous acid-base titration determining the total acid concentration and the concentration of H2SiF6. The first method is an acid-base titration using a 0.1 mol L−1 methanolic solution of cyclohexylamine (CHA) as non-aqueous titrant to determine the content of nitric acid. Then, the amount of hydrofluoric acid is calculated from the difference between the total acid and nitric acid content. The second method is based on the determination of the total fluoride concentration using a fluoride ion-selective electrode (F-ISE). The content of hydrofluoric acid is obtained from the difference between the total fluoride content and the amount of fluoride bound as H2SiF6. The amount of nitric acid results finally calculated as difference to the total acid content.  相似文献   

12.
The etching rates and reaction products of refractory metals (W, Mo, and Ta) and silicon have been studied in a SF6-O2 r.f. plasma at 0.2 torr. The relative concentrations of WF6 and WOF4 and the intensities of the WF n + (n=3–5), WOF m + (m=1–3), MoF n + , and MoF m + ions have been measured by mass spectroscopy. An analysis of the neutral composition of the plasma during etching of these metals and a comparison with the results obtained for silicon show that at least two species are involved for W and Mo etching: fluorine and oxygen atoms. A reaction scheme is proposed.  相似文献   

13.
The electrochemical behavior of K3[Fe(CN)6] was studied on an ITO electrode that was coated with β‐cyclodextrin (CD) modified multi‐walled carbon nanotubes (MWNTs) and with carboxyl modified multi‐walled carbon nanotubes (MWNT‐COOHs). MWNT‐COOHs showed an excellent electrocatalytic effect on the redox of K3[Fe(CN)6] while MWNT‐CDs had a subdued effect on the electrochemical response of K3[Fe(CN)6]. It is probably due to mismatching between K3[Fe(CN)6] and cyclodextrin, which hampers the contact of K3[Fe(CN)6] with carbon nanotubes. Moreover, the electrochemical behavior of K3[Fe(CN)6] on the MWNT‐COOHs coated ITO electrode at various scan rates also was measured. The results indicated that both potential difference between redox peaks and peak current of K3[Fe(CN)6] increased with increasing scan rate. A good linearity of peak current versus scan rate was observed.  相似文献   

14.
The TiO2 etching characteristics and mechanisms in HBr/Ar and Cl2/Ar inductively-coupled plasmas were investigated under fixed gas-mixing ratio and bias power conditions. It was found that in both systems, an increase in gas pressure from 4 to 10 mTorr results in a non-monotonic TiO2 etching rate, while a variation of input power in the range 500–800 W causes a faster-than-linear acceleration of the etching process. Plasma diagnostics performed by Langmuir probes and zero-dimensional plasma modeling provided data on plasma parameters, steady-state densities, and fluxes of the active species on the etched surface. The model-based analysis of the etching mechanism showed that for the given set of processing parameters, the TiO2 etch kinetics correspond to the transitional regime of ion-assisted chemical reaction in which a chemical-etch pathway dominates.  相似文献   

15.
The reaction products in the SF6-N2 mixture rf plasma during reactive ion etching of Si and W have been measured by a mass spectrometric method. Two kinds of cathode materials were used in this work; they were stainless steel for the Si etching, and SiO2 for the W etching. The main products detected in the etching experiments of Si and W included SF4, SF2, SO2, SOF2, SOF4, SO2F2, NSF, NF3, N2F4, NxSy, NO2, and SiF4. In the W etching with the SiO2 cathode, additional S2F2, N2O, and WF6 molecules were also obtained. The formation reactions about the novel NSF compound and the sulfur oxyfuorides were discussed.  相似文献   

16.
Dennler  G.  Houdayer  A.  Raynaud  P.  Séguy  I.  Ségui  Y.  Wertheimer  M. R. 《Plasmas and Polymers》2003,8(1):43-59
In order to study the very first stages of plasma-enhanced chemical vapor deposition (PECVD) of SiO2 on polymer substrates, we used a distributed electron cyclotron resonance (DECR) reactor, with the substrate placed (I) in the active glow zone, (II) downstream therefrom, and (III) downstream, but shielded from photon emission (e.g., VUV) from the plasma. For comparison, we also study films deposited by physical vapor ddposition (PVD, thermal evaporation). To characterize the ultra-thin deposits, we used oxygen plasma etching combined with scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and Rutherford backscattering spectroscopy (RBS). We elucidate the roles of various energetic species (VUV photons, ions, atomic oxygen, and other radicals) in the plasma during the earliest growth phase, and the origin of the interphase which is present between the deposited SiO2 and the polymer substrate.  相似文献   

17.
For the purpose of realizing a low-cost production process of silane (SiH4) gas, we have proposed the high-rate etching of metallurgical-grade Si by narrow-gap microwave hydrogen plasma. In this paper, effect of hydrogen gas flow rate (0–10 L/min) on the etch rate has been investigated and correlated with the relative variation of hydrogen-atom density estimated by actinometry. By decreasing hydrogen gas flow rate, the etch rate gradually increases up to the maximum value of 11 μm/min at 2 L/min. This increase is well correlated with the increase of hydrogen-atom density due to the longer residence time of hydrogen molecules in the plasma. On the other hand, when the gas flow rate is lower than 2 L/min, the etch rate abruptly decreases with decreasing gas flow rate in spite of the increase of hydrogen-atom density. From the surface observations and Raman measurements, it is found that the decrease in etch rate in the lower flow rate range is attributed to the formation of microcrystalline Si particles due to the decomposition of generated-SiH4 molecules in the plasma.  相似文献   

18.
Spectroscopic ellipsometry was used to study the time-dependent formation of HF upon the thermal degradation of LiPF6 at 50 °C in a lithium ion battery electrolyte containing ethylene carbonate and diethyl carbonate. The generated HF was monitored by following the etching rate of a 300 nm thick SiO2 layer, grown on both sides of a silicon wafer substrate, as a function of the immersion time in the electrolyte at 50 °C. It was found that the formation of HF starts after 70 h of exposure time and occurs following several different phases. The amount of generated HF was calculated using an empirical formula correlating the etching rate to the temperature. Combining the results of the HF formation with literature data, a simplified mechanism for the formation of the HF involving LiPF6 degradation, and a simplified catalytical reaction pathway of the formed HF and silicon dioxide are proposed to describe the kinetics of HF formation.  相似文献   

19.
Shi  M. K.  Graff  G. L.  Gross  M. E.  Martin  P. M. 《Plasmas and Polymers》1999,4(4):247-258
Residual gas analysis (RGA) and optical emission spectroscopy have been evaluated as potential in situ techniques for the detection of plasma-induced polymer surface etching. The detection is based on the measurement of CO and CO2 species formed in the gas phase following oxidation of the etching fragments released from the polymer surface. Experiments were performed on poly(ethylene terephthalate) and UV-cured acrylic (tripropylene glycol diacrylate) films exposed to O2 RF (13.56 MHz) plasmas. A linear correlation is obtained between the formation of CO and the polymer etching rate over the entire experimental range, but discrepancies appear for the formation of CO2 at high treatment powers (etching rate > 1.0 g/min.cm2). This behavior is attributed to a deficit of oxidizing agents relative to the generation of etching fragments. The results suggest that both RGA and optical emission spectroscopy can be used to monitor in situ and in real-time the etching of polymer surfaces during plasma treatment.  相似文献   

20.
开展了大气压射频(RF)等离子体化学气相沉积(PCVD)TiO2放电体系的发射光谱诊断研究, 分别考察了氧气分压、钛酸四异丙酯(TTIP)分压和输入功率对氧原子谱线相对强度、氩原子激发温度、OH振动温度以及转动温度的影响. 结果表明: 随着氧气分压的增加, 氧原子谱线相对强度先迅速增加至峰值后缓慢下降, OH振动温度缓慢增加, 而氩原子激发温度和OH转动温度基本不变. 随着TTIP 分压的增加, 氧原子谱线相对强度下降, 氩原子激发温度没有明显变化, 而OH振动温度和转动温度增加. 随着输入功率的增加, 氧原子谱线相对强度下降, 氩原子激发温度、OH振动温度和转动温度升高.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号