首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The nitridation of GaAs(1 0 0) surfaces has been studied using XPS spectroscopy, one of the best surface sensitive techniques. A glow discharge cell was used to produce a continuous plasma with a majority of N atomic species. We used the Ga3d and As3d core levels to monitor the chemical state of the surface and the coverage of the species. A theoretical model based on stacked layers allows to determine the optimal temperature of nitridation. Moreover, this model permits the determination of the thickness of the GaN layer. Varying time of nitridation from 10 min to 1 h, it is possible to obtain GaN layers with a thickness between 0.5 nm and 3 nm.  相似文献   

2.
The adsorption and decomposition of ethanethiol on GaN (0 0 0 1) surface have been investigated with first-principles calculations. The DFT calculations reveal that ethanethiol adsorbs dissociatively on the clean GaN (0 0 0 1) surface to form ethanethiolate and hydrogen species. An up limit coverage of 0.33 for ethanethiolate monolayer on GaN (0 0 0 1) surface is obtained and the position of the sulfur atom and the tilt angle of the thiolate chain are found to be very sensitive to the surface coverage. Furthermore, the reactivity of ethanethiol adsorption and further thermal decomposition reactions on GaN (0 0 0 1) surface is discussed by calculating the possible reaction pathways and ethene is found to be the major product.  相似文献   

3.
Possible formation of stable Au atomic wire on the hydrogen terminated Si(0 0 1): 3×1 surface is investigated under the density functional formalism. The hydrogen terminated Si(0 0 1): 3×1 surface is patterned in two different ways by removing selective hydrogen atoms from the surface. The adsorption of Au on such surfaces is studied at different sub-monolayer coverages. At 4/9 monolayer (ML) coverage, zigzag continuous Au chains are found to be stable on the patterned hydrogen terminated Si(0 0 1): 3×1 surface. The reason for the stability of the wire structures at 4/9 ML coverage is explained. It is to be noted that beyond 4/9 ML coverage, the additional Au atoms may introduce clusters on the surface. The continuous atomic gold chains on the substrate may be useful for the fabrication of atomic scale devices.  相似文献   

4.
First-principles pseudo-potential calculations within density-functional theory framework are performed in order to study the structural and electronic properties of nickel adsorption and diffusion on a GaN(0 0 0 1)-2×2 surface. The adsorption energies and potential energy surfaces are investigated for a Ni adatom on the Ga-terminated (0 0 0 1) surface of GaN. This surface is also used to study the effect of the nickel surface coverage. The results show that the most stable positions of a Ni adatom on GaN(0 0 0 1) are at the H3 sites and T4 sites, for low and high Ni coverage respectively. In addition, confirming previous experimental results, we have found that the growth of Ni monolayers on the GaN(0 0 0 1) surface is possible.  相似文献   

5.
The bonding and growth mechanism of photochemically attached olefin molecules to (1 0 0)(2 × 1):H diamond is characterized using atomic force (AFM) and scanning tunneling microscopy (STM) experiments in combination with molecular orbital calculations. To identify growth schemas, diamond surfaces after 10, 40 and 90 min of photo-chemically stimulated growth have been characterized. These data show clearly island formation which is discussed taking into account a growth model from silicon. The island growth shows no directional properties which are attributed to arrangement and geometrical properties of hydrogen terminated carbon bonds at the surface of (1 0 0) oriented (2 × 1) reconstructed diamond.  相似文献   

6.
In situ X-ray photoelectron spectroscopy (XPS) and ex situ atomic force microscopy (AFM) were used to study the growth of thin cobalt films at room temperature (RT) on both clean and H-terminated Si(0 0 1) and Si(1 1 1) surfaces. The growth proceeds by first forming an initial CoSi2-like phase at the growth front of the Si substrate. With increasing Co coverage the interfacial layer composition becomes richer in Co and eventually a metallic Co film is formed on top. Hydrogen termination of the Si surface did not suppress the reaction of Co and Si. A pseudo-layer-by-layer growth mode is proposed to describe the growth of Co on H-terminated Si surfaces, while closed-packed small island growth occurs on clean Si surfaces. The difference in growth mode can be attributed to the increase in the surface mobility of Co adatoms in the presence of hydrogen.  相似文献   

7.
We studied processes of cleaning GaN(0 0 0 1) surfaces on four different types of wafers: two types were hydride vapor phase epitaxy (HVPE) free-standing substrates and two types were metal-organic chemical vapor deposition (MOCVD) films grown on these HVPE substrates and prepared by annealing and/or Ar ion sputtering in ultra high vacuum. We observed the surfaces through treatments using in situ low-energy electron diffraction (LEED), reflection high-energy electron diffraction (RHEED), scanning tunneling microscopy (STM), and Auger electron spectroscopy, and also using ex situ temperature programmed desorption, X-ray photoelectron spectroscopy, X-ray diffraction, and secondary ion mass spectrometry. For HVPE samples, we obtained relatively clean surfaces under optimized three-step annealing conditions (200 °C for 12 h + 400 °C for 1 h + 500 °C for 5 min) without sputtering, after which the surface contamination of oxide and carbide was reduced to ∼20% of that before annealing. Clear GaN(0 0 0 1)1×1 patterns were obtained by LEED and RHEED. STM images showed flat terraces of ∼10 nm size and steps of ∼0.5 nm height. Upon annealing the HVPE-GaN samples at a much higher temperature (C), three-dimensional (3D) islands with facets were formed and the surface stoichiometry was broken down with the desorption of nitrogen in the form of ammonia, since the samples include hydrogen as an impurity. Ar+ sputtering was effective for removing surface contamination, however, postannealing could not recover the surface roughness but promoted the formation of 3D islands on the surface. For MOCVD/HVPE homoepitaxial samples, the surfaces are terminated by hydrogen and the as-introduced samples showed a clear 1×1 structure. Upon annealing at 500-600 °C, the surface hydrogen was removed and a 3×3 reconstruction structure partially appeared, although a 1×1 structure was dominant. We summarize the structure differences among the samples under the same treatment and clarify the effect of crystal quality, such as dislocations, the concentration of hydrogen impurities, and the residual reactant molecules in GaN films, on the surface structure.  相似文献   

8.
Studies were performed to determine the chemical addition of a metal complex molecule, chlorotris(triphenylphosphine)iridium(I), on hydrogen passivated Si(1 1 1) surfaces to form a self-assembled monolayer (SAM). The iridium complex was synthesized prior to chemical addition, for which modified reaction conditions were chosen. Following addition, the silicon surfaces were characterized with X-ray photoelectron spectroscopy (XPS) and cyclic voltammetry (CV). The XPS results revealed that the surfaces consisted of the expected elemental percentages and that the iridium has a slightly higher success rate at attaching to oxide-free surfaces. XPS data also strongly indicate that the iridium complex remained intact upon chemisorption and did not decompose during the addition reaction. CV data show a difference between iridium treated surfaces and control samples. Hydrogen passivated wafers with iridium complex were much more conductive than those which were terminated with just an oxide or with an oxide and iridium complex. Furthermore, no free iridium reagent was detected as an additional feature in the current profile, indicating there was no physisorbed layer.  相似文献   

9.
Eldad Herceg 《Surface science》2006,600(19):4563-4571
The formation of a well-ordered p(2 × 2) overlayer of atomic nitrogen on the Pt(1 1 1) surface and its reaction with hydrogen were characterized with reflection absorption infrared spectroscopy (RAIRS), temperature programmed desorption (TPD), low energy electron diffraction (LEED), Auger electron spectroscopy (AES), and X-ray photoelectron spectroscopy (XPS). The p(2 × 2)-N overlayer is formed by exposure of ammonia to a surface at 85 K that is covered with 0.44 monolayer (ML) of molecular oxygen and then heating to 400 K. The reaction between ammonia and oxygen produces water, which desorbs below 400 K. The only desorption product observed above 400 K is molecular nitrogen, which has a peak desorption temperature of 453 K. The absence of oxygen after the 400 K anneal is confirmed with AES. Although atomic nitrogen can also be produced on the surface through the reaction of ammonia with an atomic, rather than molecular, oxygen overlayer at a saturation coverage of 0.25 ML, the yield of surface nitrogen is significantly less, as indicated by the N2 TPD peak area. Atomic nitrogen readily reacts with hydrogen to produce the NH species, which is characterized with RAIRS by an intense and narrow (FWHM ∼ 4 cm−1) peak at 3322 cm−1. The areas of the H2 TPD peak associated with NH dissociation and the XPS N 1s peak associated with the NH species indicate that not all of the surface N atoms can be converted to NH by the methods used here.  相似文献   

10.
The growth of epitaxial GaN films on (0 0 0 1)-sapphire has been investigated using X-ray photoelectron spectroscopy (XPS) and low energy electron diffraction (LEED). In order to investigate the mechanism of the growth in detail, we have focused on the nitridation of pre-deposited Ga layers (droplets) using ion beam-assisted molecular beam epitaxy (IBA-MBE). Comparative analysis of XPS core-level spectra and LEED patterns reveals, that nitride films nucleate as epitaxial GaN islands. The wetting of the surface by GaN proceeds via reactive spreading of metallic Ga, supplied from the droplets. The discussed growth model confirms, that excess of metallic Ga is beneficial for GaN nucleation.  相似文献   

11.
In this work, we have investigated by means of first-principles spin-polarized calculations, the electronic and magnetic properties of iron (Fe) adsorption and diffusion on the GaN(0 0 0 1) surface using density functional theory (DFT) within a plane-wave pseudopotential scheme. In the surface adsorption study, results show that the most stable positions of a Fe adatom on GaN(0 0 0 1) surface are the H3 sites and T4 sites, for low and high Fe coverage respectively. We found that the Fe-H3 2 × 2 surface reconstruction exhibits a half-metallic behavior with a spin band gap and stable ferromagnetism ordering, which is a desirable property for high-efficiency magnetoelectronic devices. In addition, confirming previous experimental results, we found that the iron monolayers present a ferromagnetic order and a large thermal stability. This is interesting from a theoretical point of view and for its technological applications.  相似文献   

12.
For the advance of GaN based optoelectronic devices, one of the major barriers has been the high defect density in GaN thin films, due to lattice parameter and thermal expansion incompatibility with conventional substrates. Of late, efforts are focused in fine tuning epitaxial growth and in search for a low temperature method of forming low defect GaN with zincblende structure, by a method compatible to the molecular beam epitaxy process. In principle, to grow zincblende GaN the substrate should have four-fold symmetry and thus zincblende GaN has been prepared on several substrates including Si, 3C-SiC, GaP, MgO, and on GaAs(0 0 1). The iso-structure and a common shared element make the epitaxial growth of GaN on GaAs(0 0 1) feasible and useful. In this study ion-induced conversion of GaAs(0 0 1) surface into GaN at room temperature is optimized. At the outset a Ga-rich surface is formed by Ar+ ion bombardment. Nitrogen ion bombardment of the Ga-rich GaAs surface is performed by using 2-4 keV energy and fluence ranging from 3 × 1013 ions/cm2 to 1 × 1018 ions/cm2. Formation of surface GaN is manifested as chemical shift. In situ core level and true secondary electron emission spectra by X-ray photoelectron spectroscopy are monitored to observe the chemical and electronic property changes. Using XPS line shape analysis by deconvolution into chemical state, we report that 3 keV N2+ ions and 7.2 × 1017 ions/cm2 are the optimal energy and fluence, respectively, for the nitridation of GaAs(0 0 1) surface at room temperature. The measurement of electron emission of the interface shows the dependence of work function to the chemical composition of the interface. Depth profile study by using Ar+ ion sputtering, shows that a stoichiometric GaN of 1 nm thickness forms on the surface. This, room temperature and molecular beam epitaxy compatible, method of forming GaN temperature can serve as an excellent template for growing low defect GaN epitaxial overlayers.  相似文献   

13.
The properties of GaN have made it not only an ideal material for high power and high frequency electronic devices, but also a semiconductor suitable for application in biosensing devices. The utilization of GaN in electronic biosensors has increased the importance of characterizing robust and easily implemented organic functionalization methods for GaN surfaces. This work demonstrates and characterizes a route to functionalize the GaN (0001) surface with two organic molecules, hexylamine and a peptide, through olefin cross-metathesis with Grubbs first generation catalyst. The GaN (0001) surface was chlorinated, functionalized with a terminal alkene group using a Grignard reaction, and then terminated with a carboxyl group using an olefin cross-metathesis reaction. With a condensation reaction, the final step in the reaction scheme bound hexylamine or a peptide to the carboxyl terminated GaN surface. Qualitative and quantitative X-ray photoelectron spectroscopy (XPS) data verified the success of each step in the reaction scheme. Surface element composition, adlayer coverages, and adlayer thicknesses were calculated based on the XPS data. At least a monolayer of surface molecules covered the GaN surface.  相似文献   

14.
III-V semiconductor compound structures are widely applied in technology of advanced microelectronics, optoelectronics, and gas sensors. In this paper, we report on the use of XPS to characterize in situ the interaction of thermally activated hydrogen atoms and hydrogen molecules with InP(1 0 0) surfaces covered by thin InN overlayers. XPS spectra were taken with an ESCALAB-210 spectrometer after repeated hydrogenation cycles at temperatures up to 350 °C. The evolution of the In 3d, In 4d, P 2p, N 1s, O 1s and C 1s photoelectron spectra was carefully monitored. The XPS spectra of the hydrogen exposed surface revealed significant differences compared to those from the non-hydrogenated surface. InN films were found to be weakly reactive to hydrogen under experimental conditions explored. The behavior of P atoms at the hydrogenated surface was dependent on the parameters characterizing each hydrogenation (exposure, hydrogen species used, annealing temperature). Moreover, the heavily hydrogenated surface exhibited a phosphorus enrichment.  相似文献   

15.
Zhipeng Chang 《Surface science》2007,601(9):2005-2011
Methanethiol adsorbed on Ru(0 0 0 1)-p(2 × 2)O has been studied by TPD and XPS. The dissociation of methanethiol to methylthiolate and hydrogen at 90 K is evidenced by the observation of hydroxyl and water. The saturation coverage of methylthiolate is ∼0.15 ML, measured by both XPS and TPD. A detailed analysis suggests that only the hcp-hollow sites have been occupied. Upon annealing the surface, water and hydroxyl desorb from the surface at ∼210 K. Methylthiolate decomposes to methyl radical and atomic sulphur via C-S cleavage between 350 and 450 K. Some methyl radicals (0.05 ML) have been transferred to Ru atoms before they decompose to carbon and hydrogen. The rest of methyl radicals desorb as gaseous phase. No evidence for the transfer of methyl radical to surface oxygen has been found.  相似文献   

16.
Ultrathin films of vanadium nitride (1-20 monolayers = nanolayers) with (1 1 1) orientation have been grown on a Pt(1 1 1) surface by reactive evaporation of vanadium in NH3 atmosphere. The VN(1 1 1) surfaces have been investigated by X-ray and UV photoelectron spectroscopy, LEED, work function measurements, and ab initio DFT calculations. Nearly stoichiometric, well-ordered VN0.9 overlayers with their (1 × 1) unit cells rotationally aligned to the high symmetry directions of the Pt substrate have been obtained after annealing the films deposited at 300-500 °C in vacuum. The experimental valence band spectra have been compared to the theoretical density of states for differently terminated VN(1 1 1) surfaces, i.e. V and N terminated surfaces, bare and with chemisorbed hydrogen. The comparison suggests that the VN(1 1 1) nanolayers are terminated by a hexagonal layer of vanadium atoms, possibly covered with some chemisorbed hydrogen (which may originate from the preparation procedure). The VN nanolayer growth on Pt(1 1 1) follows a Stranski-Krastanov layer-plus-island growth mode.  相似文献   

17.
《Surface science》2003,547(3):438-451
The unique ability of the vanadyl pyrophosphate (1 0 0) surface to activate n-butane and then selectively oxidise the hydrocarbon to maleic anhydride was investigated using modern quantum chemical methods. Bulk (VO)2P2O7, together with stoichiometric and phosphorus-enriched (1 0 0) surfaces, were analysed using periodic density functional theory calculations. Also simulated was surface ionic relaxation from bulk geometry, and surface hydration. Density of states (DOS) plots show that, whether stoichiometric or phosphorus-enriched, bulk terminated or relaxed, bare or hydrated, local covalent reactivity at the (1 0 0) surface is controlled by vanadium species. Terminal P-O oxygen species are the most nucleophilic surface oxygens, as indicated by their predominance of sub-vanadium high-lying valence band levels. A periodic treatment of (VO)2P2O7(1 0 0) hence gives results qualitatively identical to those obtained from earlier cluster calculations. Simulation of surface ionic relaxation shows that in-plane P-O-V oxygens may also be involved in rupture of substrate C-H bonds for mild oxidation, while surface hydration calculations indicate that dissociative chemisorption of water may play a key role in perpetuation of the selective oxidation cycle.  相似文献   

18.
Adsorption of NO and the reaction between NO and H2 were investigated on the Ru(0 0 0 1) surface by X-ray photoelectron spectroscopy (XPS). Surface composition was measured after NO adsorption and after the selective catalytic reduction of nitric oxide with hydrogen in steady-state conditions at 320 K and 390 K in a 30:1 mixture of H2 and NO (total pressure = 10−4 mbar). After steady-state NO reduction, molecularly adsorbed NO in both the linear on-top and threefold coordinations, NHads and Nads species were identified by XPS. The coverage of the NHads and Nads species was higher after the reaction at 390 K than the corresponding values at 320 K. Strong destabilisation of Nads by Oads was detected. A possible reaction mechanism is discussed.  相似文献   

19.
In analogy with the case of Sr on Si [Y. Liang, S. Gan, M. Engelhard, Appl. Phys. Lett. 79 (2001) 3591], we studied surface crystallinity and oxidation behaviour of clean and Ba terminated Ge(1 0 0) surfaces as a function of oxygen pressure and temperature. The structural and chemical changes in the Ge surface layer were monitored by LEED, XPS and real-time RHEED. In contrast to the oxidation retarding effect, observed for 1/2 monolayer of Sr on Si, the presence of a Ba termination layer leads to a pronounced increase in Ge oxidation rate with respect to clean Ge. In fact, while the Ge(1 0 0) surface terminated with 1/2 ML Ba amorphizes for a pO2 of 10−2 Torr, LEED indicates that clean Ge forms a thin (4.5 Å), 1 × 1 ordered oxide upon aggressive O2 exposure (150 Torr, 200 °C, 30 min). We briefly discuss the origins for the difference in behaviour between Ba on Ge and Sr on Si.  相似文献   

20.
Electronic and atomic structures of different terminations of the (0 0 1) non-polar orientation of BaZrO3 surfaces have been studied using first-principles calculations. We found that surface energies at both possible surface terminations, BaO and ZrO2, were very close. The (0 0 1)-BaO and (0 0 1)-ZrO2 terminated surfaces have bandgap values smaller than that of a bulk BaZrO3 crystal. In addition, the relative surface stability has been analyzed as a function of chemical environment.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号