首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 687 毫秒
1.
The duplex oxide film potentiostatically formed on copper in concentrated alkaline media has been investigated by XRD, XPS, negative-going voltammetry and cathodic chronopotentiometry. The interfacial capacity was also measured using fast triangular voltage method under quasi-stationary condition. The obvious differences in the thickness, composition, passivation degree and capacitance behavior were observed between the duplex film formed in lower potential region (−0.13 to 0.18 V versus Hg|HgO electrode with the same solution as the electrolyte) and that formed in higher potential region (0.18-0.60 V). Cuprous oxides could be formed and exist stably in the inner layer in the both potential regions, and three cupric species, soluble ions and Cu(OH)2 and CuO, could be independently produced from the direct oxidation of metal copper, as indicated by three pairs of redox voltammetric peaks. One of the oxidation peaks appeared only after the scan was reversed from high potential and could be attributed to CuO formation upon the pre-accumulation of O2− ions within the film under high anodic potentials. A new mechanism for the film growth on the investigated time scale from 1 to 30 min is proposed, that is, the growth of the duplex film in the lower potential region takes place at the film|solution interface to form a thick Cu(OH)2 outer layer by field-assisted transfer of Cu2+ ions through the film to solution, whereas the film in the higher potential region grows depressingly and slowly at the metal|film interface to form Cu2O and less CuO by the transfer of O2− ions through the film to electrode.  相似文献   

2.
The effect of microstructure and purity on the native oxidation of Cu was studied by using angle-resolved X-ray photoelectron spectroscopy (AR-XPS) and spectroscopic ellipsometry (SE). A high quality copper film prepared by ion beam deposition under a substrate bias voltage of −50 V (IBD Cu film at Vs = −50 V) showed an oxidation resistance as high as an ultra high purity copper (UHP Cu) bulk, whereas a Cu film deposited without substrate bias voltage (IBD Cu film at Vs = 0 V) showed lower oxidation resistance. The growth of Cu2O layer on the UHP Cu bulk and both types of the films obeyed in principle a logarithmic rate law. However, the growth of oxide layer on the IBD Cu films at Vs = 0 and −50 V deviated upward from the logarithmic rate law after the exposure time of 320 and 800 h, respectively. The deviation from the logarithmic law is due to the formation of CuO on the Cu2O layer after a critical time.  相似文献   

3.
The composition of the surface layers has been investigated on copper, zinc and brass in contact with moderately hard, highly carbonated and chloride rich artificial tap water (ATW). Cyclic voltammetry, reflectance spectroscopy, X-ray diffraction, Raman spectroscopy and X-ray photoelectron spectroscopy have been used to identify the changes in composition that result from the incorporation of sodium orthophosphate to ATW. The results showed that when PO43− is added, the film changes its composition and it also becomes thinner, denser and more compact. On copper, the presence of CuO in the passive film can be correlated to the improvement in the corrosion behavior in the presence of phosphate ions. In the case of brass, the development of a thinner, compact and less porous Zn3(PO4)2 layer hinders Cu(I) dissolution. A mechanism explaining the effect of this inhibitor is proposed, which accounts for the experimental results.  相似文献   

4.
ESCA examination of films formed on Cr-Co alloys after immersion in 0.1M NaCl for 24 h has shown that the thickness of passive films decreased with an increase in chromium content. Surface films consisted of chromium and cobalt oxides as Cr2O3 and CoO. The amount of CoO in the surface film of the alloy was decreased with an increase in chromium but Cr2O3 was found at a greater depth in the passive film at any composition. Cr2O3 was a major component of the surface film when the chromium content in the alloy was 10% or higher. Electrochemical techniques according to ASTM G59 and ASTM G5 were used for the determination of the relative corrosion rate. Both Co-10 wt.% Cr and Co-30 wt.% Cr alloys investigated showed a lower corrosion rate than the Co-5 wt.% Cr alloy. Corrosion rate measured could be correlated to the surface film composition and structure as determined by ESCA.  相似文献   

5.
Introducing ultrasound irradiation to the electrodeposition process can significantly improve the physical and chemical properties of deposited films. Meanwhile, the beneficial effects from supercritical-CO2, such as high diffusivity, high permeability, low surface tension, etc., would improve the electrodeposition process with better surface quality. In the shed of the light, the present work deals with the preparation of copper (Cu) films using the integrated techniques, i.e., ultrasonic-assisted supercritical-CO2 (US-SC-CO2) electrodeposition approach. For comparison, Cu films were also prepared by normal supercritical-CO2 (SC-CO2) and conventional electrodeposition methods. To investigate the characteristics of Cu films, surface morphology analysis, roughness analysis, X-ray diffraction studies (XRD), Linear polarization, electrochemical impedance spectroscopy (EIS), and cyclic voltammetry (CV) were performed. In this work, EIS analysis was utilized for interfacial charge transfer resistance analysis with 5 mM [Fe(CN)6]−3/−4 redox system and corrosion analysis with 3.5 wt% NaCl solution. The observed results revealed that the film prepared with the US-SC-CO2 method have superior properties than those produced by normal SC-CO2 and conventional methods. Due to the combination of US-SC-CO2, the cavitation implosion occurs rapidly that enriches the deposited film quality, such as sufficient grain size, smoother surface, enhanced corrosion resistance, and charge carrier dynamics. On the other hand, the ultrasound effect with SC-CO2 helped to remove the weakly adhered metal ions on the electrode’s surface.  相似文献   

6.
Speciation of copper in a copper‐rich chemical‐mechanical polishing sludge during electrokinetic treatment has been studied by in situ extended X‐ray absorption fine structure (EXAFS) and X‐ray absorption near‐edge structure (XANES) spectroscopy. The least‐squares‐fitted XANES spectra indicate that the main copper species in the sludge are Cu(OH)2 (74%), nanosize CuO (20–60 nm) (13%) and CuO (>100 nm) (13%). The average bond distance and coordination number (CN) of Cu—O are 1.96 Å and 3.5, respectively. Under electrokinetic treatment (5 V cm?1) for 120 min, about 85% of the copper is dissolved in the electrolyte, 13% of which is migrated and enriched on the cathode. Notably the copper nanoparticles in the sludge can also migrate to the cathode under the electric field. By in situ EXAFS, it is found that during the electrokinetic treatment the bond distance and CN of Cu—O are increased by 0.1 Å and 0.9, respectively.  相似文献   

7.
Fabrication of cuprous and cupric oxide thin films by heat treatment   总被引:1,自引:0,他引:1  
Cuprous oxide (Cu2O) and cupric oxide (CuO) thin films were prepared by thermal oxidation of copper films coated on indium tin oxide (ITO) glass and non-alkaline glass substrates. The formation of Cu2O and CuO was controlled by varying oxidation conditions such as, oxygen partial pressure, heat treatment temperature, and oxidation time. The microstructure, crystal direction, and optical properties of copper oxide films were measured with X-ray diffraction, atomic force microscopy, and optical spectroscopy. The results indicated that the phase-pure Cu2O and CuO films were produced in the oxidation process. Optical transmittance and reflectance spectra of Cu2O and CuO clearly exhibited distinct characteristics related to their phases. The electrical properties indicated that these films formed ohmic contacts with Cu and ITO electrode materials. Multilayers of Cu2O/CuO were fabricated by choosing the oxidation sequence. The experimental results in this paper suggest that the thermal oxidation method can be employed to fabricate device quality Cu2O and CuO films that are up to 200–300 nm thick.  相似文献   

8.
Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into TixSiy substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 °C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers.  相似文献   

9.
《Applied Surface Science》2002,185(3-4):248-254
Thin films of Y2O3 were deposited on the surface of a zinalco alloy (Zn–22Al–2Cu) in order to modify the surface and increase the corrosion resistance. By means of ion sputtering and surface analysis using Auger electron spectroscopy, in-depth relative elemental intensity profiles were obtained. The growth mechanism of the surface oxides layer is modified by the deposited yttrium oxide film. On samples without film, corrosion progresses mainly at the surface as indicated by the zinc excess, while on samples with film, the growth of the oxides layer occurs at inner points of the film where migrating anions and cations are allowed to find each other. The growth of the corrosion products layer is about nine times smaller in samples with a film of 1600 Å of Y2O3 with respect to samples without a film. Migration of aluminum particles is higher than that of zinc particles, producing a surface highly enriched in aluminum.  相似文献   

10.
Copper-oxide films are deposited by plasma-enhanced CVD using copper acetylacetonate as a precursor. The influence of various experimental parameters on deposition rate, film composition and resistivity have been studied. The substrate temperature and the bias are the parameters which affect these properties the most. An increase of the substrate temperature changes the phases of the deposit from Cu2O-CuO over Cu2O to Cu. At temperatures 500° C the deposition rates are high but the films consist mainly of metallic Cu. A negative bias enhances the deposition rate only slightly but has a strong effect on the film composition and can completely balance the oxygen deficiency. At a bias of –120 V the films consist of pure CuO even at temperatures 500° C.  相似文献   

11.
Copper oxidation studies were carried out by means of field emission scanning electron microscopy (FESEM), atomic force microscopy (AFM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDS) and electron energy loss spectroscopy (EELS) techniques. The growth of copper oxide occurs as a copper surface comes in an oxygen containing environment. The reaction sequence leading to oxidation of the copper surface is generally accepted to be oxygen chemisorption, nucleation and growth of the surface oxide and bulk oxide growth. HRTEM examination of the cross section of the oxidized copper sample revealed the interface region in between the copper and copper oxide. At high oxidation temperature, formation of micro-voids and separations were observed along this interface region. Poor adhesion at this interface region due to micro-voids and separation were found to be the root cause of delamination issue. EELS analysis determined that for regions with intact interface the oxidation system is Cu/CuO/Cu2O/CuO, however, in regions containing micro-voids or separation it is found to be Cu/Cu2O/CuO.  相似文献   

12.
The effect of laser ablation on copper foil irradiated by a short 30 ns laser pulse was investigated by X-ray photoelectron spectroscopy. The laser fluence was varied from 8 to 16.5 J/cm2 and the velocity of the laser beam from 10 to 100 mm/s. This range of laser fluence is characterized by a different intensity of laser ablation. The experiments were done in two kinds of ambient atmosphere: air and argon jet gas.The chemical state and composition of the irradiated copper surface were determined using the modified Auger parameter (α′) and O/Cu intensity ratio. The ablation atmosphere was found to influence the size and chemical state of the copper particles deposited from the vapor plume. During irradiation in air atmosphere the copper nanoparticles react with oxygen and water vapor from the air and are deposited in the form of a CuO and Cu(OH)2 thin film. In argon atmosphere the processed copper surface is oxidized after exposure to air.  相似文献   

13.
The comprehension of passivity and its protective character against corrosion is closely connected with the electronic properties of passive films. Passive films formed anodically on carbon steel in borate/boric acid solution, pH 9.2, have been characterised by electrochemical impedance spectroscopy (EIS). Mott-Schottky plots and impedance measurements were made on films formed at different potentials and times. The investigation allowed the determination of the semiconductive properties of the films. The results of the capacitance response indicate that the passive films behave like highly doped n-type semiconductors, showing that the passive film properties are dominated by iron. The value of donors density (ND) for the passive film is of the order of 1021 cm−3 and decreases with increasing formation time and potential, indicating that defects decrease with increasing film thickness. Based on the information about the physical phenomena, an equivalent circuit is proposed to fit the experimental data, leading to determination of anodic film capacitance and film resistance.  相似文献   

14.
We demonstrate the use of a grazing angle objective attachment to carry out in situ far infrared micro-spectroelectrochemistry at a copper electrode on a nano-scale. A thin-layer spectrochemical cell made out of Teflon was used, fitted with a 20-μm thick Mylar window; the working electrode was 500 μm in diameter. Measurements were carried out in 0.1 M NaOH solution as a function of applied potential between –1.4 and 0 V vs a Hg/Hg2SO4 reference electrode. Spectra were obtained with excellent signal to noise ratio for the surface oxide film, formed on copper electrochemically with less than 1 nL of active solution volume. The surface film at 0 V was about 130 nm thick and consisted mainly of CuO, with possibly some Cu(OH)2 also present. This interpretation is consistent with previous works and thermodynamic calculations. The technique should be useful in other investigations and the further development of electrochemical surface science.  相似文献   

15.
Potentiostatically anodized oxide films on the surface of commercial pure titanium (cp-Ti) formed in sulfuric (0.5 M H2SO4) and in phosphoric (1.4 M H3PO4) acid solutions under variables anodizing voltages were investigated and compared with the native oxide film. Potentiodynamic polarization and electrochemical impedance spectroscopy, EIS, were used to predicate the different in corrosion behavior of the oxide film samples. Scanning electron microscope, SEM, and electron diffraction X-ray analysis, EDX, were used to investigate the difference in the morphology between different types of oxide films. The electrochemical characteristics were examined in phosphate saline buffer solution, PSB (pH 7.4) at 25 °C. Results have been shown that the nature of the native oxide film is thin and amorphous, while the process of anodization of Ti in both acid solutions plays an important role in changing the properties of passive oxide films. Significant increase in the corrosion resistance of the anodized surface film was recorded after 3 h of electrode immersion in PSB. On the other side, the coverage (θ) of film formed on cp-Ti was differed by changing the anodized acid solution. Impedance results showed that both the native film and anodized film formed on cp-Ti consist of two layers. The resistance of the anodized film has reached to the highest value by anodization of cp-Ti in H3PO4 and the inner layer in the anodized film formed in both acid solutions is also porous.  相似文献   

16.
Biomimic superhydrophobic surfaces with contact angle greater than 150° and low sliding angle on copper substrate were fabricated by means of a facile solution immersion and surface self-assembly method. The scanning electron microscopy showed a nanoneedle structure copper surface with sporadic flower-like aggregates after treatment with sodium hydroxide and potassium persulfate solution. X-ray photoelectron spectroscopy and X-ray diffraction results confirmed that the formed nanoneedles were crystallized Cu(OH)2. And the hydrophilic Cu(OH)2 surface can be further modified into superhydrophobic through surface self-assembly with dodecanoic acid.  相似文献   

17.
Solar thermal collectors have been prepared with thin TiOxNy films deposited using ion beam assisted deposition, on Si and Cu substrates. The films are amorphous and x and y were controlled by altering the O2/N2 ratio in the gas source. After annealing at temperatures of 200 – 400 °C, films have been depth profiled using Secondary Ion Mass Spectrometry. Profiles reveal the degradation of the film, particularly for films on Cu substrates, by migration of the substrate atoms through the films, to the sample surface. In general, films with x<1 and y>1 show improved temperature stability, ultimately at the expense of a reduced transmission window. Contrary to previous suggestions in the literature, the degradation mechanism initially involves the formation of a nitrogen rich phase, rather than an oxide at the film surface. On copper substrates, the nature of the films and of this phase, formed by diffusion of the substrate atoms, have been investigated by X-ray photoelectron spectroscopy (XPS). These investigations reveal complex behaviour in the early stages of film failure, with the suggestion that the initial films, at least near the surface, are two phase, and the reaction layer mixes the TiOxNy with some Ti replacement by ions from the Cu substrate.  相似文献   

18.
The dispersal of CuO catalyst on the surface of the semiconducting SnO2 film is found to be of vital importance for improving the sensitivity and the response speed of a SnO2 gas sensor for H2S gas detection. Ultra-thin CuO islands (8 nm thin and 0.6 mm diameter) prepared by evaporating Cu through a mesh and subsequent oxidation yield a fast response speed and recovery. Ultimately nanoparticles of Cu (average size = 15 nm) prepared by a chemical technique using a reverse micelle method involving the reduction of Cu(NO3)2 by NaBH4 exhibited significant improvement in the gas sensing characteristics of SnO2 films. A fast response speed of ∼14 s and a recovery time of ∼60 s for trace level ∼20 ppm H2S gas detection have been recorded. The sensor operating temperature (130° C) is low and the sensitivity (S = 2.06 × 103) is high. It is found that the spreading over of CuO catalyst in the nanoscale range on the surface of SnO2 allows effective removal of excess adsorbed oxygen from the uncovered SnO2 surface due to spill over of hydrogen dissociated from the H2S-CuO interaction.  相似文献   

19.
Thin films of copper oxide were obtained through thermal oxidation (100-450 °C) of evaporated metallic copper (Cu) films on glass substrates. The X-ray diffraction (XRD) studies confirmed the cubic Cu phase of the as-deposited films. The films annealed at 100 °C showed mixed Cu-Cu2O phase, whereas those annealed between 200 and 300 °C showed a single cubic Cu2O phase. A single monoclinic CuO phase was obtained from the films annealed between 350 and 450 °C. The positive sign of the Hall coefficient confirmed the p-type conductivity in the films with Cu2O phase. However, a relatively poor crystallinity of these films limited the p-type characteristics. The films with Cu and CuO phases show n-type conductivity. The surface of the as-deposited is smooth (RMS roughness of 1.47 nm) and comprised of uniformly distributed grains (AFM and SEM analysis). The post-annealing is found to be effective on the distribution of grains and their sizes. The poor transmittance of the as-deposited films (<1%) is increased to a maximum of ∼80% (800 nm) on annealing at 200 °C. The direct allowed band gap is varied between 2.03 and 3.02 eV.  相似文献   

20.
The M3–VV Auger-photoelectron coincidence spectroscopy (APECS) spectrum of Cu(100) and the L3–VV APECS spectra of Cu metal and CuOx/Cu surface are analyzed in detail. The narrowing and energy shift of the photoelectron line in the M3–VV APECS spectrum is well predicted by the present theory. The spectrum shows the presence of the M2–M3(V)–VV(V) decay in which a hole in the 4s band hops away prior to the decay of M3 hole. The analysis of the L3 photoelectron spectra of Cu metal measured in coincidence with the 3F or 1G Auger line raises a question concerning the presence of two different core–hole states upon the L3 level ionization recently proposed by Thurgate and Jiang [Surf. Sci. 466 (2000) L807]. The analysis of the L3–VV APECS spectrum of CuOx/Cu shows that the final-state charge–transfer interaction plays an important role in CuO.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号