首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 182 毫秒
1.
Surface topography of the {0 0 0 1} facet plane of as-grown 6H- and 4H-SiC crystals was studied ex situ by Nomarski optical microscopy (NOM) and atomic force microscopy (AFM). The surface polarity and the polytype of grown crystals largely affect the growth surface morphology of SiC{0 0 0 1} via differences in several thermodynamic and kinetic parameters. NOM observations revealed giant steps of a few micrometers in height on the {0 0 0 1} growth facet, and it was found that a morphological transition of the growth facet occurred when the growth conditions were changed. AFM imaging of the stepped structure of SiC{0 0 0 1} detected steps of height equal to the unit c-lattice parameter (c=1.512 nm for 6H-SiC and 1.005 nm for 4H-SiC). They are fairly straight and very regularly arranged, giving rise to equidistant step trains. Upon nitrogen doping, these regular step trains on the 6H-SiC(0 0 0  )C and 4H-SiC(0 0 0  )C surfaces became unstable: the equidistant step trains were transformed into meandering macrosteps of height greater than 10 nm. In this paper, we discuss the mechanism of macrostep formation (step bunching) on the SiC{0 0 0 1} surfaces through the consideration of the interplay between step energetics (repulsive step interaction) and kinetics (asymmetric step kinetics) on the growing crystal surface and elucidate how they affect the growth surface morphology of the SiC{0 0 0 1} facet.  相似文献   

2.
In this paper we show a detailed AFM observation of GaAs epilayers grown on GaAs (0 0 1) substrate patterned with SiO2 mask by low angle incidence microchannel epitaxy (LAIMCE). We have found that low index facets are formed as sidewalls of the epilayers grown in open window aligned along singular directions. We have also found that low index facets are formed as sidewalls for epilayers showing a zigzagging edge. In the case of the epilayers grown in an open window aligned 10° off [0 1 0], for which lateral growth is maximum, we have found round shape sidewalls and (0 0 1) terraces at the boundary of the sidewall and the top surface. We concluded that the lateral growth proceeds when the sidewall is rough, while it stops when low index facets are formed as sidewalls.  相似文献   

3.
The present paper deals with studies of the facetting of the polished (1 0 0) surface of CaF2 during annealing and growth in UHV using low energy electron diffraction (LEED), atomic force microscopy (AFM), and transmission electron microscopy (TEM). First morphological modifications of the polished surfaces become visible at temperatures of T=874 K. Surfaces annealed at T=974 K exhibit a micro-roughening with pyramidal protrusions and corresponding depressions. LEED studies indicate the evolution of {1 1 1} facets. Reflexes from the (1 0 0) surface are not seen. After growth of about 660 monolayers of CaF2 at T=1093 K and a saturation ratio S=33 from the vapor phase, larger pyramid-like or hip roof-like crystallites are developed. The results of AFM height profiles as well as of the LEED investigations indicate again the formation of {1 1 1} facets as proved by their angles of 54.7 o with the base (1 0 0) surface. This shows that the crystallites are homoepitaxially grown on the underlying CaF2 substrate.  相似文献   

4.
We report about the formation of twinning-superlattice regions in Si epitaxial layers grown by molecular beam epitaxy on Si(1 1 1)()R30°-B surfaces. Twinning-superlattice regions were formed by periodical arrangement of 180° rotation twin boundaries along [1 1 1]-direction and are only separated by a few nanometers. The preparation method consists of repeating several growth, boron-deposition and annealing cycles on boron-predeposited undoped Si substrates. It is shown that the amount of subsurface boron and the growth mode influence the formation of twin boundaries. Only the nucleation of Si on the Si(1 1 1)()R30°-surface covered by at least ML boron results in the formation of 180° rotation twins. The size of superlattice regions is restricted by surface morphology. However, the presented technology should also be suitable to prepare a new type of semiconductor heterostructure based on Si polytypes.  相似文献   

5.
Lateral, single-crystalline silicon nanowires were synthesized using chemical vapor deposition catalyzed by gold nanoparticles deposited on one of the vertical {1 1 1} sidewalls of trenches etched in Si(0 1 1) substrates. Upon encountering the opposing sidewalls of the trenches, the lateral nanowires formed a mechanically strong connection. The bridging connection at the opposing sidewall was observed using high-resolution transmission electron microscopy (TEM) to be epitaxial and unstrained silicon-to-silicon. Using energy-dispersive X-ray spectroscopy in TEM, gold could not be detected at the interface region where the nanowires formed a connection with the opposing sidewall silicon deposit but was detected on the surface adjacent to the impingement region. We postulate that a silicon-to-silicon connection is formed as the gold–silicon liquid eutectic is forced out of the region between the growing nanowire and the opposing sidewall.  相似文献   

6.
Selective MOVPE growth of GaN microstructure on silicon substrates has been investigated using SiO2 mask having circular or stripe window. In case of (0 0 1)substrate, grooves with (1 1 1) facets at the sides were made by using the etching anisotropy of a KOH solution. On the (1 1 1) facets of patterned silicon substrate (or on the as opened window region of (1 1 1) substrate), growth of wurtzite GaN was performed, of which the c-axis is oriented along the 1 1 1 axis of silicon. The photoluminescence and X-ray diffraction analysis were performed to characterize the single crystal to reveal the effect of the growth conditions of the intermediated layer and the microstructure.  相似文献   

7.
Atomic resolution scanning tunnelling microscopy (STM) has been used to study the adsorption of Si on GaAs(001) surfaces, grown in situ by molecular beam epitaxy (MBE), with a view to understanding the incorporation of Si in δ-doped GaAs structures. Under the low-temperature deposition conditions chosen, the clean GaAs surface is characterized by a well-defined c(4×4) reflection high-energy electron diffraction (RHEED) pattern, a structure involving termination with two layers of As. Filled states STM images of this surface indicate that the basic structural unit, when complete, consists of rectangular blocks of six As atoms with the As-As bond in the surface layer aligned along the [110] direction. Deposition of <0.05 ML of Si at 400°C onto this surface shows significant disruption of the underlying structure. A series of dimer rows are formed on the surface which, with increasing coverage, form anisotropic "needle-like" islands which show no tendency to coalesce even at relatively high coverages (0.5 ML). The formation of these islands accompanies the splitting of the 1/2 order rods in the RHEED pattern along [110]. As the Si is known to occupy only Ga sites, the Si atoms displace the top layer As atoms of the c(4×4) structure, with the displaced As atoms forming dimers in a new top layer. The results are consistent with a recently proposed site exchange model and subsequent island formation for surfactant mediated epitaxial growth.  相似文献   

8.
The fabrication and characterisation of AlxGa1−xN (0x0.35) photodetectors grown on Si(1 1 1) by molecular beam epitaxy are described. For low Al contents (<10%), photoconductors show high responsivities (10A/W), a non-linear dependence on optical power and persistent photoconductivity (PPC). For higher Al contents the PPC decreases and the photocurrent becomes linear with optical power. Schottky photodiodes present zero-bias responsivities from 12 to 5 mA/W (x=0−0.35), a UV/visible contrast higher than 103, and a time response of 20 ns, in the same order of magnitude as for devices on sapphire substrate. GaN-based p–n ultraviolet photodiodes on Si(1 1 1) are reported for the first time.  相似文献   

9.
The effect of the relative growth rate between {1 1 1} and {1 0 0} faces on the growth morphology of perfect and twinned face-centered-cubic crystals was investigated with a Monte Carlo simulation considering both first-nearest-neighbor (FNN) and second-nearest-neighbor (SNN) interactions. When the bond energy ratio of SNN to FNN interactions is close to zero, the {1 1 1} twin planes make a reentrant edge, which enhances the growth rate on this plane, leading to a tabular growth shape. When the ratio is 0.25, the ridge side face of a tabular shape has the {1 0 0}/{1 0 0}/{1 1 1} structure instead of the {1 1 1}/{1 1 1} reentrant edge. In spite of disappearance of the reentrant edge, the side face has a higher growth rate than the top face because the {1 0 0} face still grows faster than the {1 1 1} face.  相似文献   

10.
Step velocities and hillock slopes on the {1 0 0} face of KDP were measured over a supersaturation range of 0<σ<0.15, where σ is the supersaturation. The formation of macrosteps and their evolution with distance from the hillock top were also observed. Hillock slope depended linearly on supersaturation and hillock geometry. The two non-equivalent sectors exhibited different slopes and step velocities. AFM shows an elementary step height of 3.7 Å, or half the unit cell height, whereas previous interferometric experiments assumed the elementary step was a unit cell. Values of the step edge energy (), the kinetic coefficients for the slow and fast directions (βS and βF), and the activation energies for slow and fast step motion (Ea,S and Ea,F) were calculated to be 24.0 erg/cm2, 0.071 cm/s, 0.206 cm/s, 0.26 eV/molecule, and 0.21 eV/molecule, respectively. Analysis of macrostep evolution including the dependence of step height on time and terrace width on distance were performed and compared to predictions of published models. The results do not allow us to distinguish between a shock wave model and a continuous step-doubling model. Analysis within the latter model leads to a characteristic adsorption time for impurities (λ−1) of 0.0716 s.  相似文献   

11.
The strain, surface and interface energies of the SiGe/Si (SiGe grown on Si) heterostructure system with and without misfit dislocations were calculated for the Frank–van der Merwe (FM), Stranski–Krastanov (SK) and Volmer–Weber (VW) growth modes essentially based on the three kinds of fundamental and simple structures. The free energies for each growth mode were derived from these energies, and it was determined as a function of the composition and layer thickness of SiGe on Si. By comparison of the free energies, the phase diagrams of the FM, SK and VW growth modes for the SiGe/Si system were determined. The (1 1 1) and (1 0 0) reconstructed surfaces were selected for this calculation. From the phase diagrams, it was found for the growth of SiGe on Si that the layer-by-layer growth such as the FM mode was easy to be obtained when the Ge composition is small, and the island growth on a wetting layer such as the SK mode was easy to be obtained when the Ge composition is large. The VW mode is energetically stable in the Ge-rich compositional range, but it is difficult for the VW mode to appear in the actual growth of SiGe on Si because the VW region is right above the SK region. The regions of the SK and VW modes for the (1 1 1) heterostructure are larger than those for the (1 0 0) one because the strain energy of the (1 1 1) face is larger than that of the (1 0 0) face. The regions of the SK and VW modes for the heterostructure with misfit dislocations are narrower than those for the one without misfit dislocations because the strain energy is much released by misfit dislocations. The phase diagrams roughly explain the behavior of the FM and SK growth modes of SiGe on Si.  相似文献   

12.
Nucleation and growth of wurtzite AlN layers on nominal and off-axis Si(0 0 1) substrates by plasma-assisted molecular beam epitaxy is reported. The nucleation and the growth dynamics have been studied in situ by reflection high-energy electron diffraction. For the films grown on the nominal Si(0 0 1) surface, cross-sectional transmission electron microscopy and X-ray diffraction investigations revealed a two-domain film structure (AlN1 and AlN2) with an epitaxial orientation relationship of [0 0 0 1]AlN || [0 0 1]Si and AlN1 || AlN2 || [1 1 0]Si. The epitaxial growth of single crystalline wurtzite AlN thin films has been achieved on off-axis Si(0 0 1) substrates with an epitaxial orientation relationship of [0 0 0 1]AlN parallel to the surface normal and 0 1 1 0AlN || [1 1 0]Si.  相似文献   

13.
Two-dimensional (2D) periodic arrays of Co metal and Co silicide nanodots were successfully fabricated on (0 0 1)Si substrate by using the polystyrene (PS) nanosphere lithography (NSL) technique and thermal annealing. The epitaxial CoSi2 was found to start growing in samples after annealing at 500 °C. The sizes of the Co silicide nanodots were observed to shrink with annealing temperature. From the analysis of the selected-area electron diffraction (SAED) patterns, the crystallographic relationship between the epitaxial CoSi2 nanodots and (0 0 1)Si substrates was identified to be [0 0 1]CoSi2//[0 0 1]Si and (2 0 0)CoSi2//(4 0 0)Si. By combining the planview and cross-sectional TEM examination, the epitaxial CoSi2 nanodots formed on (0 0 1)Si were found to be heavily faceted and the shape of the faceted epitaxial CoSi2 nanodot was identified to be inverse pyramidal. The observed results present the exciting prospect that with appropriate controls, the PS NSL technique promises to offer an effective and economical patterning method for the growth of a variety of large-area periodic arrays of uniform metal and silicide nanostructures on different types of silicon substrates.  相似文献   

14.
The elastic properties of GexAsySe100−xy (0x30; 10y40) glasses have been studied. The results were analyzed in terms of the dependence on the theoretical mean coordination number (mean number of covalent bonds per atom) m (m=2+(2x+y)×0.01). Three ranges of m (2.1m2.51, 2.51<m2.78, 2.78<m3) were revealed, where different dependencies of elastic moduli (Young’s modulus, shear modulus) and Poisson’s ratio of glasses on m were observed.  相似文献   

15.
Phase diagrams of 1,2,4,5-tetrachlorobenzene–β-naphthol and 1,2,4,5-tetramethylbenzene–succinonitrile systems which are organic analogues of a nonmetal–nonmetal and a nonmetal–metal system, respectively, show the formation of a simple eutectic (melting point 103.7°C) with 0.71 mole fraction of β-naphthol in the former case and a monotectic (melting point 76.0°C) with 0.07 mole fraction of succinonitrile and a eutectic (melting point 52.5°C) with 0.97 mole fraction of succinonitrile in the latter case. The growth behaviour of the pure components, the eutectics and the monotectic studied by measuring the rate of movement of the solid–liquid interface in a capillary, suggests that the data obey the Hillig–Turnbull equation, v=uT)n, where v is the growth velocity, ΔT is the undercooling and u and n are constants depending on the nature of the materials involved. From the values of enthalpy of fusion determined by the DSC method using Mettler DSC-4000 system, entropy of fusion, interfacial energy, enthalpy of mixing and excess thermodynamic functions were calculated. The optical microphotographs of pure components and polyphase materials show their characteristic features.  相似文献   

16.
A thin interlayer of Pt can greatly enhance the thermal stability of NiSi films formed by rapid thermal annealing (RTA) on Si(1 1 1) substrates, as was revealed by X-ray diffraction (XRD) data and sheet resistance measurement. High-resolution transmission electron microscopy (HRTEM) reveals a well-defined interface between the Ni(Pt)Si film and the Si(1 1 1) substrate for the Ni/Pt/Si sample annealed at 640°C. The orientation relationship in this sample determined by selected area electron diffraction (SAED) was NiSi(1 0 0)||Si(1 1 1) and NiSi[0   0]||Si[0 1  ]. With the increase of temperature, the texture of NiSi films transform from NiSi(1 0 0)||Si(1 1 1) to NiSi(0 0 1)||Si(1 1 1). The reduction in the interfacial energy due to the formation of the (1 0 0) textured NiSi film is proposed as a possible reason for the improved thermal stability of NiSi and the transition in NiSi texture during high-temperature annealing. Detailed study on the XRD data combined with Auger electron spectra (AES) indicates PtSi and NiSi form a solid solution following Vegard's law, which adjusts the lattice constant ratio c/b to and may account for the texture of NiSi(1 0 0)||Si(1 1 1).  相似文献   

17.
Cellular-automata-based simulation of anisotropic crystal growth   总被引:2,自引:0,他引:2  
Extending the simulation of anisotropic etching, a cellular-automata-based simulator is applied to anisotropic crystal growth. This simulator takes advantage of the equivalence between dissolution and growth of crystals. Metalorganic vapour-phase epitaxial growth experiments were performed on patterned (1 0 0)-oriented InP substrates with very deep V-shaped grooves with {1 1 1}A sidewalls to determine the relevant growth rates of InGaAs and InP. The capability of the simulation method is demonstrated by quantitative comparison of simulated and experimental results. In addition, the versatility of the model is shown with area-selective growth.  相似文献   

18.
The hydrolysis and condensation reactions of Si(OC2H5)4 (TEOS) at 80°C in the TEOS---H2O---C2H5OH---HCl solutions with H2O/TEOS molar ratios (r) from 1.0 to 2.0 were followed by gas chromatography (GC) and measurement of molecular weight ( ) of the hydrolyzates, in order to explain the viscosity change of the solutions. It has been found that the siloxane oligomers with average polymerization degree (n) from 2 to 7 are formed in the early stage of reaction and undergo condensation to form higher polymers. The n and the number of silanol groups of the oligomers are increased with increasing r. The fact that the solution viscosity increased once rapidly around the so-called gelation point followed by a sluggish increase with the increase of reaction time for an r of 1.7, while it increased rapidly without a break till gelation for an r of 2.0, was attributed to fewer silanol groups and higher steric hindrance as a result of more −OC2H5 groups remaining in the oligomers for an r of 1.7.  相似文献   

19.
The structure and thermal stability of ZrO2 films grown on Si (1 0 0) substrates by metalorganic chemical vapor deposition have been studied by high-resolution transmission electron microscopy, selected area electron diffraction and X-ray energy dispersive spectroscopy. As-deposited films consist of tetragonal ZrO2 nanocrystallites and an amorphous Zr silicate interfacial layer. After annealing at 850°C, some monoclinic phase is formed, and the grain size is increased. Annealing a 6 nm thick film at 850°C in O2 revealed that the growth of the interfacial layer is at the expense of the ZrO2 layer. In a 3.0 nm thick Zr silicate interfacial layer, there is a 0.9 nm Zr-free SiO2 region right above the Si substrate. These observations suggest that oxygen reacted with the Si substrate to grow SiO2, and SiO2 reacted with ZrO2 to form a Zr silicate interfacial layer during the deposition and annealing. Oxygen diffusion through the tetragonal ZrO2 phase was found to be relatively easier than through the monoclinic phase.  相似文献   

20.
We have investigated the morphology of the high-temperature-grown AlN nucleation layer and its role in the early stage of GaN growth, by means of transmission electron microscopy. The nitride was selectively grown on a 7-degree off-oriented (0 0 1) patterned Si substrate by metalorganic vapor phase epitaxy. AlN was deposited on the inclined unmasked (1 1 1) facet in the form of islands. The size of the islands varied along the slope, which is attributable to the diffusion of the growth species in the vapor phase. The GaN nucleation occurred at the region where rounded AlN islands formed densely. The threading dislocations were observed to generate in the GaN nucleated region.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号